NEOFog: Nonvolatility-Exploiting Optimizations for Fog Computing

Nonvolatile processors have emerged as one of the promising solutions for energy harvesting scenarios, among which Wireless Sensor Networks (WSN) provide some of the most important applications. In a typical distributed sensing system, due to difference in location, energy harvester angles, power sources, etc. different nodes may have different amount of energy ready for use. While prior approaches have examined these challenges, they have not done so in the context of the features offered by nonvolatile computing approaches, which disrupt certain foundational assumptions. We propose a new set of nonvolatility-exploiting optimizations and embody them in the NEOFog system architecture. We discuss shifts in the tradeoffs in data and program distribution for nonvolatile processing-based WSNs, showing how non-volatile processing and non-volatile RF support alter the benefits of computation and communication-centric approaches. We also propose a new algorithm specific to nonvolatile sensing systems for load balancing both computation and communication demands. Collectively, the NV-aware optimizations in NEOFog increase the ability to perform in-fog processing by 4.2X and can increase this to 8X if virtualized nodes are 3X multiplexed.

[1]  Pui-In Mak,et al.  9.4 A 0.5V 1.15mW 0.2mm2 Sub-GHz ZigBee receiver supporting 433/860/915/960MHz ISM bands with zero external components , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[2]  Hyung Seok Kim,et al.  Reconfiguration of clusterheads for load balancing in wireless sensor networks , 2008, Comput. Commun..

[3]  Yiran Chen,et al.  A lightweight progress maximization scheduler for non-volatile processor under unstable energy harvesting , 2017, LCTES.

[4]  János Levendovszky,et al.  Novel Load Balancing Scheduling Algorithms for Wireless Sensor Networks , 2011 .

[5]  Jingtong Hu,et al.  Software assisted non-volatile register reduction for energy harvesting based cyber-physical system , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[6]  Arnab Raha,et al.  QUICKRECALL: A Low Overhead HW/SW Approach for Enabling Computations across Power Cycles in Transiently Powered Computers , 2014, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems.

[7]  Zhang,et al.  An Overview of Non-Volatile Flip-Flops Based on Emerging Memory Technologies An Overview of Non-Volatile Flip-Flops Based on Emerging Memory Technologies , 2014 .

[8]  Joshua R. Smith,et al.  Powering the next billion devices with wi-fi , 2015, CoNEXT.

[9]  Hamid Sharif,et al.  Load-balanced energy efficient clustering protocol for wireless sensor networks , 2016, IET Wirel. Sens. Syst..

[10]  Charles R. Farrar,et al.  Structural Health Monitoring: A Machine Learning Perspective , 2012 .

[11]  G. Edward Suh,et al.  A non-volatile microcontroller with integrated floating-gate transistors , 2011, 2011 IEEE/IFIP 41st International Conference on Dependable Systems and Networks Workshops (DSN-W).

[12]  Naoya Onizawa,et al.  Sudden Power-Outage Resilient In-Processor Checkpointing for Energy-Harvesting Nonvolatile Processors , 2017, IEEE Transactions on Emerging Topics in Computing.

[13]  Joshua R. Smith,et al.  WISPCam: A battery-free RFID camera , 2015, 2015 IEEE International Conference on RFID (RFID).

[14]  Sang Hoon Lee,et al.  The design of a ultra-low power RF wakeup sensor for wireless sensor networks , 2016, Journal of Communications and Networks.

[15]  Zhang Wenchao,et al.  The Real-time Temperature Measuring System for the Jointless Rail , 2011, 2011 Third International Conference on Measuring Technology and Mechatronics Automation.

[16]  Young-Joon Kim,et al.  An Ultra-Low-Power RF Energy-Harvesting Transceiver for Multiple-Node Sensor Application , 2015, IEEE Transactions on Circuits and Systems II: Express Briefs.

[17]  Chong-Min Kyung,et al.  Smart Sensors and Systems: Innovations for Medical, Environmental, and IoT Applications , 2016 .

[18]  Brandon Lucia,et al.  Chain: tasks and channels for reliable intermittent programs , 2016, OOPSLA.

[19]  Ang Li,et al.  Intra-task scheduling for storage-less and converter-less solar-powered nonvolatile sensor nodes , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

[20]  Girdhari Singh,et al.  Balanced Cluster Size Solution to Extend Lifetime of Wireless Sensor Networks , 2015, IEEE Internet of Things Journal.

[21]  Yu Wang,et al.  4.7 A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination nonvolatile logic , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[22]  Geoff V. Merrett,et al.  Energy-driven computing: Rethinking the design of energy harvesting systems , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[23]  Mohamed F. Younis,et al.  Load-balanced clustering of wireless sensor networks , 2003, IEEE International Conference on Communications, 2003. ICC '03..

[24]  Yu Wang,et al.  Solar Power Prediction Assisted Intra-task Scheduling for Nonvolatile Sensor Nodes , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[25]  Hugh P. McAdams,et al.  An FRAM-Based Nonvolatile Logic MCU SoC Exhibiting 100% Digital State Retention at ${\rm VDD}=$ 0 V Achieving Zero Leakage With ${<}$ 400-ns Wakeup Time for ULP Applications , 2014, IEEE Journal of Solid-State Circuits.

[26]  Brian D. Collins,et al.  Assessment of existing and potential landslide hazards resulting from the April 25, 2015 Gorkha, Nepal earthquake sequence. , 2015 .

[27]  Brandon Lucia,et al.  An Energy-Aware Debugger for Intermittently Powered Systems , 2017, IEEE Micro.

[28]  Matthew Hicks,et al.  Intermittent Computation without Hardware Support or Programmer Intervention , 2016, OSDI.

[29]  Cong Wang,et al.  A high-efficiency dual-channel photovoltaic power system for nonvolatile sensor nodes , 2014, 2014 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).

[30]  Suat Ozdemir,et al.  Secure Load Balancing via Hierarchical Data Aggregation in Heterogeneous Sensor Networks , 2009 .

[31]  Huazhong Yang,et al.  A Ferroelectric Nonvolatile Processor with 46 $\mu $ s System-Level Wake-up Time and 14 $\mu $ s Sleep Time for Energy Harvesting Applications , 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

[32]  Meng-Fan Chang,et al.  Ambient energy harvesting nonvolatile processors: From circuit to system , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[33]  Abdoulaye Gamatié,et al.  Non-Volatile Processor Based on MRAM for Ultra-Low-Power IoT Devices , 2016, ACM J. Emerg. Technol. Comput. Syst..

[34]  Narayanan Vijaykrishnan,et al.  Dynamic Power and Energy Management for Energy Harvesting Nonvolatile Processor Systems , 2017, ACM Trans. Embed. Comput. Syst..

[35]  Gabriel M. Rebeiz,et al.  24.5 A 4.5nW wake-up radio with −69dBm sensitivity , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

[36]  Kang L. Wang,et al.  Low-power non-volatile spintronic memory: STT-RAM and beyond , 2013 .

[37]  Narayanan Vijaykrishnan,et al.  Architecture exploration for ambient energy harvesting nonvolatile processors , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[38]  Bo Zhao,et al.  A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops , 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).

[39]  Narayanan Vijaykrishnan,et al.  Spendthrift: Machine learning based resource and frequency scaling for ambient energy harvesting nonvolatile processors , 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

[40]  D. Masotti,et al.  Start-up solutions for ultra-low power RF harvesting scenarios , 2015, 2015 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization (NEMO).

[41]  Robert X. Gao,et al.  Hilbert–Huang Transform-Based Vibration Signal Analysis for Machine Health Monitoring , 2006, IEEE Transactions on Instrumentation and Measurement.

[42]  Huazhong Yang,et al.  Performance-aware task scheduling for energy harvesting nonvolatile processors considering power switching overhead , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[43]  Huazhong Yang,et al.  Deadline-aware task scheduling for solar-powered nonvolatile sensor nodes with global energy migration , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[44]  Joshua R. Smith,et al.  Battery-Free Connected Machine Vision with WISPCam , 2016, GETMBL.

[45]  Yanxiang He,et al.  Compiler directed automatic stack trimming for efficient non-volatile processors , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[46]  Partha Pratim Pande,et al.  Making the Internet-of-Things a reality: From smart models, sensing and actuation to energy-efficient architectures , 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[47]  Shoji Ikeda,et al.  A 600-µW ultra-low-power associative processor for image pattern recognition employing magnetic tunnel junction-based nonvolatile memories with autonomic intelligent power-gating scheme , 2016 .

[48]  Kevin Fu,et al.  Mementos: system support for long-running computation on RFID-scale devices , 2011, ASPLOS XVI.

[49]  Xiang Pan,et al.  NVSleep: Using non-volatile memory to enable fast sleep/wakeup of idle cores , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

[50]  David Wetherall,et al.  Ambient backscatter: wireless communication out of thin air , 2013, SIGCOMM.

[51]  Luca Benini,et al.  Hibernus++: A Self-Calibrating and Adaptive System for Transiently-Powered Embedded Devices , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[52]  Marcus Herzog,et al.  An 82μA/MHz microcontroller with embedded FeRAM for energy-harvesting applications , 2011, 2011 IEEE International Solid-State Circuits Conference.

[53]  Huazhong Yang,et al.  Accurate personal ultraviolet dose estimation with multiple wearable sensors , 2016, 2016 IEEE 13th International Conference on Wearable and Implantable Body Sensor Networks (BSN).

[54]  James H. Garrett,et al.  Indirect structural health monitoring of a simplified laboratory-scale bridge model , 2014 .

[55]  Meng-Fan Chang,et al.  Advancing Nonvolatile Computing With Nonvolatile NCFET Latches and Flip-Flops , 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

[56]  Rong Luo,et al.  Storage-less and converter-less maximum power point tracking of photovoltaic cells for a nonvolatile microprocessor , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[57]  P. Reichenbach,et al.  Landslide hazard evaluation: a review of current techniques and their application in a multi-scale study, Central Italy , 1999 .

[58]  Hye-Young Kim An energy-efficient load balancing scheme to extend lifetime in wireless sensor networks , 2015, Cluster Computing.

[59]  Farinaz Koushanfar,et al.  Idetic: A high-level synthesis approach for enabling long computations on transiently-powered ASICs , 2013, 2013 IEEE International Conference on Pervasive Computing and Communications (PerCom).

[60]  Ruigen Yao,et al.  Autoregressive statistical pattern recognition algorithms for damage detection in civil structures , 2012 .

[61]  Xiang Li,et al.  A Load-balancing Clustering Algorithm of WSN for Data Gathering , 2011, 2011 2nd International Conference on Artificial Intelligence, Management Science and Electronic Commerce (AIMSEC).

[62]  Yuan Xie,et al.  Emerging Memory Technologies: Design, Architecture, and Applications , 2013 .

[63]  Meng-Fan Chang,et al.  A 130nm FeRAM-based parallel recovery nonvolatile SOC for normally-OFF operations with 3.9× faster running speed and 11× higher energy efficiency using fast power-on detection and nonvolatile radio controller , 2017, 2017 Symposium on VLSI Circuits.

[64]  Kemal E. Tepe,et al.  Extending Wireless Sensor Network Lifetime With Global Energy Balance , 2015, IEEE Sensors Journal.

[65]  Alex S. Weddell,et al.  Approaches to Transient Computing for Energy Harvesting Systems: A Quantitative Evaluation , 2015, ENSsys@SenSys.

[66]  A. Fakhfakh,et al.  Modified rectifier circuit for high efficiency and low power RF energy harvester , 2016, 2016 13th International Multi-Conference on Systems, Signals & Devices (SSD).

[67]  Jingtong Hu,et al.  Fixing the broken time machine: Consistency-aware checkpointing for energy harvesting powered non-volatile processor , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[68]  Brandon Lucia,et al.  An Energy-interference-free Hardware-Software Debugger for Intermittent Energy-harvesting Systems , 2016, ASPLOS.

[69]  Luca Benini,et al.  Hibernus: Sustaining Computation During Intermittent Supply for Energy-Harvesting Systems , 2015, IEEE Embedded Systems Letters.

[70]  Antonio Liscidini,et al.  13.6 A 600μW Bluetooth low-energy front-end receiver in 0.13μm CMOS technology , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[71]  Yaping Deng,et al.  A Load Balance Clustering Algorithm for Heterogeneous Wireless Sensor Networks , 2010, 2010 International Conference on E-Product E-Service and E-Entertainment.

[72]  Brandon Lucia,et al.  Energy-interference-free system and toolchain support for energy-harvesting devices , 2015, 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

[73]  Robert Perricone,et al.  Advanced spintronic memory and logic for non-volatile processors , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[74]  Brandon Lucia,et al.  Intermittent Computing: Challenges and Opportunities , 2017, SNAPL.

[75]  Meng-Fan Chang,et al.  A low store energy, low VDDmin, nonvolatile 8T2R SRAM with 3D stacked RRAM devices for low power mobile applications , 2010, 2010 Symposium on VLSI Circuits.

[76]  Brandon Lucia,et al.  A simpler, safer programming and execution model for intermittent systems , 2015, PLDI.

[77]  Geoff V. Merrett Invited: Energy harvesting and transient computing: A paradigm shift for embedded systems? , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[78]  Arturo E. Schultz,et al.  Bridge Health Monitoring and Inspections – A Survey of Methods , 2009 .

[79]  Joshua R. Smith,et al.  Wi-fi backscatter , 2014, SIGCOMM 2015.

[80]  B. Pradhan,et al.  Rainfall-induced landslide susceptibility assessment at the Chongren area (China) using frequency ratio, certainty factor, and index of entropy , 2016 .

[81]  Mahmut T. Kandemir,et al.  Incidental Computing on IoT Nonvolatile Processors , 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[82]  Nileshsingh V. Thakur,et al.  LOAD BALANCING BASED APPROACH TO IMPROVE LIFETIME OF WIRELESS SENSOR NETWORK , 2012 .

[83]  Hisashi Shima,et al.  Resistive Random Access Memory (ReRAM) Based on Metal Oxides , 2010, Proceedings of the IEEE.

[84]  Yuan Xie,et al.  Leveraging 3D PCRAM technologies to reduce checkpoint overhead for future exascale systems , 2009, Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis.

[85]  Michail Papamichail,et al.  A 10 mW Bluetooth Low-Energy Transceiver With On-Chip Matching , 2015, IEEE Journal of Solid-State Circuits.