Communication Bandwidth Adaptable Network Design of Complex Application Specific SoC
暂无分享,去创建一个
[1] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[2] Yao-Wen Chang,et al. B*-Trees: a new representation for non-slicing floorplans , 2000, DAC.
[3] A. E. Eiben,et al. Introduction to Evolutionary Computing , 2003, Natural Computing Series.
[4] Yeh-Ching Chung,et al. An efficient deadlock-free tree-based routing algorithm for irregular wormhole-routed networks based on the turn model , 2004 .
[5] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[6] Luca Benini,et al. Synthesis of networks on chips for 3D systems on chips , 2009, 2009 Asia and South Pacific Design Automation Conference.
[7] Wayne H. Wolf,et al. TGFF: task graphs for free , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).
[8] Radu Marculescu,et al. Key research problems in NoC design: a holistic perspective , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[9] Yeh-Ching Chung,et al. An efficient deadlock-free tree-based routing algorithm for irregular wormhole-routed networks based on the turn model , 2004, International Conference on Parallel Processing, 2004. ICPP 2004..
[10] Kees G. W. Goossens,et al. A unified approach to constrained mapping and routing on network-on-chip architectures , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[11] R. Ravi,et al. Approximation Algorithms for Degree-Constrained Minimum-Cost Network-Design Problems , 2001, Algorithmica.
[12] Alberto L. Sangiovanni-Vincentelli,et al. Efficient synthesis of networks on chip , 2003, Proceedings 21st International Conference on Computer Design.
[13] Srinivasan Murali,et al. An Application-Specific Design Methodology for STbus Crossbar Generation , 2005, Design, Automation and Test in Europe.
[14] Axel Jantsch,et al. A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
[15] Andrew B. Kahng,et al. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[16] Krishnan Srinivasan,et al. Layout aware design of mesh based NoC architectures , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).
[17] Hideharu Amano,et al. L-turn routing: an adaptive routing in irregular networks , 2001, International Conference on Parallel Processing, 2001..
[18] Tapani Ahonen,et al. Topology optimization for application-specific networks-on-chip , 2004, SLIP '04.
[19] Luca Benini,et al. SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[20] Michael Burrows,et al. Autonet: A High-Speed, Self-Configuring Local Area Network Using Point-to-Point Links , 1991, IEEE J. Sel. Areas Commun..
[21] L. Benini,et al. Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[22] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, MICRO.
[23] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[24] Radu Marculescu,et al. Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.
[25] Timothy Mark Pinkston,et al. A methodology for designing efficient on-chip interconnects on well-behaved communication patterns , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[26] Krishnan Srinivasan,et al. An automated technique for topology and route generation of application specific on-chip interconnection networks , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[27] Srinivasan Murali,et al. SUNMAP: a tool for automatic topology selection and generation for NoCs , 2004, Proceedings. 41st Design Automation Conference, 2004..
[28] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[29] Krishnan Srinivasan,et al. ISIS: a genetic algorithm based technique for custom on-chip interconnection network synthesis , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
[30] Sujit Dey,et al. Design space exploration for optimizing on-chip communication architectures , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[31] Luca Benini,et al. SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips , 2009, DATE.