Integration challenges in sub-0.25 μm CMOS-based technologies

Abstract Market demands, which require increased functionality at lower costs are driving the development of high performance CMOS technologies with very high integration density. These demands are pushing the continuous scaling down of technologies and are resulting in a progressive acceleration of the rate of introduction of new technology generations. Current research and development activities in CMOS technology are focused on scaling CMOS technologies below 0.25 μm dimensions. While some of the process modules can be scaled down in a conventional way, in some cases severe limitations are reached and it is necessary to introduce major modifications to the process flow. In this paper we will present an overview of the main considerations to be kept in mind when scaling down to a 0.18 or 0.13 μm CMOS technology generation.

[1]  J.Y.C. Sun,et al.  Foundry technology for the next decade , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[2]  E. Vandamme,et al.  Optimisation of Critical Parameters in a Low Cost, High Performance Deep Submicron CMOS Technology , 1999, 29th European Solid-State Device Research Conference.

[3]  I. Chen,et al.  Shallow trench isolation for advanced ULSI CMOS technologies , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[4]  L. Deferm,et al.  Investigation of intrinsic transistor performance of advanced CMOS devices with 2.5 nm NO gate oxides , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).

[5]  C. Lage,et al.  A versatile 0.25 micron CMOS technology , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[6]  Geert Vandenberghe,et al.  CD control comparison for sub-0.18-μm patterning using 248-nm lithography and strong resolution enhancement techniques , 1999, Advanced Lithography.

[7]  Martin McCallum,et al.  Design, reticle, and wafer OPC manufacturability for the 0.18-μm lithography generation , 1999, Advanced Lithography.

[8]  G.A. Brown,et al.  CMOS metal replacement gate transistors using tantalum pentoxide gate insulator , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[9]  Chen Hu,et al.  New Ti-SALICIDE process using Sb and Ge preamorphization for sub-0.2 /spl mu/m CMOS technology , 1998 .

[10]  Reliability of vertical MOSFETs for gigascale memory applications , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[11]  P. Bai,et al.  A high performance 180 nm generation logic technology , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[12]  Kinam Kim,et al.  DRAM technology perspective for gigabit era , 1998 .

[13]  Kurt G. Ronse,et al.  Feasibility of printing 0.1-μm technology with optical lithography , 1999, Advanced Lithography.

[14]  Takahiro Matsuo,et al.  Challenge to sub-0.1-μm pattern fabrication using an alternating phase-shifting mask in ArF lithography , 1999, Advanced Lithography.

[15]  S. Veeraraghavan,et al.  A high performance 1.5 V, 0.10 /spl mu/m gate length CMOS technology with scaled copper metallization , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[16]  Torres,et al.  Copper Integration In Self Aligned Dual Damascene Architecture , 1997, 1997 Symposium on VLSI Technology.

[17]  Tso-Ping Ma,et al.  Making silicon nitride film a viable gate dielectric , 1998 .

[18]  Bruce W. Smith,et al.  Optical extension at the 193-nm wavelength , 1999, Advanced Lithography.

[19]  M. Bohr Interconnect scaling-the real limiter to high performance ULSI , 1995, Proceedings of International Electron Devices Meeting.

[20]  Y. Akasaka,et al.  High performance metal gate MOSFETs fabricated by CMP for 0.1 /spl mu/m regime , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[21]  Y. Toyoshima,et al.  Novel corner rounding process for shallow trench isolation utilizing MSTS (Micro-Structure Transformation of Silicon) , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[22]  Y. Hayashi,et al.  Interconnect design strategy: structures, repeaters and materials toward 0.1 /spl mu/m ULSIs with a giga-hertz clock operation , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[23]  T. Nishimura,et al.  Stress analysis of shallow trench isolation for 256 M DRAM and beyond , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[24]  E. Vandamme,et al.  A High Performance 0.18 um Elevated Source/Drain Technology with Improved Manufacturability , 1999, 29th European Solid-State Device Research Conference.