Sub-30 nm pitch line-space patterning of semiconductor and dielectric materials using directed self-assembly

The authors demonstrate pattern transfer of 29-nm-pitch self-assembled line-space polystyrene-poly(methyl methacrylate) patterns generated by graphoepitaxy into three important materials for semiconductor device integration: silicon, silicon nitride, and silicon oxide. High fidelity plasma etch transfer with production-style reactors was achieved through co-optimization of multilayer masking film stacks and reactor conditions. The authors present a systematic study of the line edge roughness (LER) and line width roughness evolution during pattern transfer. Application of a postetch annealing process shows reduction of the LER of silicon features from around ∼3 nm to less than 1.5 nm. These results further demonstrate that directed self-assembly-based patterning may be a suitable technique for semiconductor device manufacturing.

[1]  Joy Y. Cheng,et al.  Simple and versatile methods to integrate directed self-assembly with optical lithography using a polarity-switched photoresist. , 2010, ACS nano.

[2]  M. Glodde,et al.  Patterning of CMOS device structures for 40-80nm pitches and beyond , 2012, Advanced Lithography.

[3]  Yayi Wei,et al.  Systematic studies on reactive ion etch-induced deformations of organic underlayers , 2011, Advanced Lithography.

[4]  Hengpeng Wu,et al.  All track directed self-assembly of block copolymers: process flow and origin of defects , 2012, Advanced Lithography.

[5]  Hidetami Yaegashi,et al.  Overview: continuous evolution on double-patterning process , 2012, Other Conferences.

[6]  Ying Zhang,et al.  Polymer self assembly in semiconductor microelectronics , 2006, 2006 International Electron Devices Meeting.

[7]  Hiroshi Yamaguchi,et al.  Two‐Dimensional Patterning of Flexible Designs with High Half‐Pitch Resolution by Using Block Copolymer Lithography , 2008 .

[8]  William D. Hinsberg,et al.  Self-assembly patterning for sub-15nm half-pitch: a transition from lab to fab , 2011, Advanced Lithography.

[9]  Mark Somervell,et al.  Pattern scaling with directed self assembly through lithography and etch process integration , 2012, Advanced Lithography.

[10]  Eungnak Han,et al.  Integration of block copolymer directed assembly with 193 immersion lithography , 2010 .

[11]  S. Darling,et al.  Simple orientational control over cylindrical organic–inorganic block copolymer domains for etch mask applications , 2009 .

[12]  C. Auth,et al.  A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors , 2012, 2012 Symposium on VLSI Technology (VLSIT).

[13]  Mark Y. Liu,et al.  A 32nm logic technology featuring 2nd-generation high-k + metal-gate transistors, enhanced channel strain and 0.171μm2 SRAM cell size in a 291Mb array , 2008, 2008 IEEE International Electron Devices Meeting.

[14]  G. Cohen,et al.  High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[15]  J. Cain,et al.  Experimental and model-based study of the robustness of line-edge roughness metric extraction in the presence of noise , 2007 .

[16]  Bong Hoon Kim,et al.  Ultralarge-area block copolymer lithography enabled by disposable photoresist prepatterning. , 2010, ACS nano.

[17]  K. Berggren,et al.  A path to ultranarrow patterns using self-assembled lithography. , 2010, Nano letters.

[18]  Gregg M. Gallatin,et al.  Modeling line-edge roughness in lamellar block copolymer systems , 2012, Advanced Lithography.