An inductive-coupling interconnected application-specific 3D NoC design

To deal with interconnect delay problem, through-silicon-via (TSV) based 3D interconnect is widely used. However, TSV-interconnected 3D chips face problems such as high cost, low yield and large power dissipation. In this paper, we propose a wireless 3D on-chip-network architecture for application-specific SoC design, using inductive-coupling interconnect instead of TSV for inter-layer communication, which cuts down manufacture cost, improves interconnect performance, reduces power consumption and provides larger design space. The key to this design is allocating wireless links in the 3D on-chip network effectively while maintaining signal integrity. We develop a design flow which can fully exploit the design space brought by wireless links and provide flexible tradeoff for user's choice. Experimental results show that our architecture brings great improvement on both performance and power consumption.

[1]  Jae-Seok Yang,et al.  Chemical-mechanical polishing aware application-specific 3D NoC design , 2011, ICCAD 2011.

[2]  H. Ishikuro,et al.  Interference from power/signal lines and to SRAM circuits in 65nm CMOS inductive-coupling link , 2007, 2007 IEEE Asian Solid-State Circuits Conference.

[3]  Axel Jantsch,et al.  3D Integration for NoC-based SoC Architectures , 2010 .

[4]  Tadahiro Kuroda,et al.  A 1 TB/s 1 pJ/b 6.4 ${\rm mm}^{2}/{\rm TB/s}$ QDR Inductive-Coupling Interface Between 65-nm CMOS Logic and Emulated 100-nm DRAM , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[5]  Tadahiro Kuroda,et al.  3-D NoC on Inductive Wireless Interconnect , 2011, 3D Integration for NoC-based SoC Architectures.

[6]  T. Sakurai,et al.  A 1Tb/s 3W inductive-coupling transceiver for inter-chip clock and data link , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[7]  Roberto Guerrieri,et al.  3-D Capacitive Interconnections for Wafer-Level and Die-Level Assembly , 2007, IEEE Journal of Solid-State Circuits.

[8]  Srinivasan Murali,et al.  SUNMAP: a tool for automatic topology selection and generation for NoCs , 2004, Proceedings. 41st Design Automation Conference, 2004..

[9]  Gul N. Khan,et al.  Throughput-Oriented NoC Topology Generation and Analysis for High Performance SoCs , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Luca Benini,et al.  SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips , 2009, DATE.