Restoration-Based Procedures With Set Covering Heuristics for Static Test Compaction of Functional Test Sequences

The goal of static test compaction is to reduce the number or tests, or the lengths of test sequences, without reducing the fault coverage. Static test compaction that reduces the number of tests was formulated as a set covering problem in order to benefit from the heuristics that exist for solving this problem. This paper applies set covering concepts and heuristics to static test compaction that reduces the length of a functional test sequence. Although set covering is not applicable directly to a single test sequence, it provides a theoretical framework and justification for a particular set of heuristics. The procedure uses a parameter denoted by n to determine the computational effort for computing the sets that are used for making compaction decisions. With n=1, the procedure is equivalent to a static test compaction procedure that does not use set covering. Experimental results demonstrate that shorter test sequences are obtained for n>1 than for n=1. A variation of the static test compaction procedure that produces a monotonic decrease in test sequence length with n is also described.

[1]  Irith Pomeranz,et al.  Vector replacement to improve static-test compaction forsynchronous sequential circuits , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  Paulo F. Flores,et al.  On applying set covering models to test set compaction , 1999, Proceedings Ninth Great Lakes Symposium on VLSI.

[3]  Elizabeth M. Rudnick,et al.  Simulation-based techniques for dynamic test sequence compaction , 1996, Proceedings of International Conference on Computer Aided Design.

[4]  Dorit S. Hochbaum,et al.  An optimal test compression procedure for combinational circuits , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  A. Singh,et al.  Fault-tolerant systems , 1990, Computer.

[6]  Paolo Prinetto,et al.  New static compaction techniques of test sequences for sequential circuits , 1997, Proceedings European Design and Test Conference. ED & TC 97.

[7]  Irith Pomeranz,et al.  Improving the efficiency of static compaction based on chronological order enumeration of test sequences [logic testing] , 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

[8]  Janak H. Patel,et al.  Compaction of ATPG-generated test sequences for sequential circuits , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[9]  William Lindsay,et al.  FRITS - a microprocessor functional BIST method , 2002, Proceedings. International Test Conference.

[10]  Irith Pomeranz,et al.  SIFAR: static test compaction for synchronous sequential circuits based on single fault restoration , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[11]  Kwang-Ting Cheng,et al.  Transition fault testing for sequential circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  S.T. Chakradhar,et al.  Static compaction using overlapped restoration and segment pruning , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[13]  Irith Pomeranz,et al.  PROPTEST: a property-based test generator for synchronous sequential circuits , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  Michael S. Hsiao,et al.  State relaxation based subsequence removal for fast static compaction in sequential circuits , 1998, Proceedings Design, Automation and Test in Europe.

[15]  Irith Pomeranz,et al.  Primary Input Vectors to Avoid in Random Test Sequences for Synchronous Sequential Circuits , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Robert C. Aitken,et al.  IDDQ and AC scan: the war against unmodelled defects , 1996, Proceedings International Test Conference 1996. Test and Design Validity.

[17]  Irith Pomeranz,et al.  On generating compact test sequences for synchronous sequential circuits , 1995, Proceedings of EURO-DAC. European Design Automation Conference.

[18]  Tsuneo Nakata,et al.  A method of static compaction of test stimuli , 2001, Proceedings 10th Asian Test Symposium.

[19]  Irith Pomeranz,et al.  Vector restoration based static compaction of test sequences for synchronous sequential circuits , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

[20]  Melvin A. Breuer,et al.  Digital systems testing and testable design , 1990 .

[21]  Jeff Rearick Too much delay fault coverage is a bad thing , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[22]  Irith Pomeranz,et al.  On static compaction of test sequences for synchronous sequential circuits , 1996, DAC '96.

[23]  Irith Pomeranz,et al.  Vector-restoration-based static compaction using random initial omission , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Kenneth M. Butler,et al.  A case study of ir-drop in structured at-speed testing , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[25]  Dimitris Gizopoulos,et al.  Software-based self-testing of embedded processors , 2005, IEEE Transactions on Computers.

[26]  Kewal K. Saluja,et al.  Methods for dynamic test vector compaction in sequential test generation , 1996, Proceedings of 9th International Conference on VLSI Design.

[27]  Michael G. Dimopoulos,et al.  Efficient static compaction of test sequence sets through the application of set covering techniques , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[28]  Sujit Dey,et al.  Software-based self-testing methodology for processor cores , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[29]  Elizabeth M. Rudnick,et al.  Putting the squeeze on test sequences , 1997, Proceedings International Test Conference 1997.

[30]  Michael S. Hsiao,et al.  Sequential circuit test generation using dynamic state traversal , 1997, Proceedings European Design and Test Conference. ED & TC 97.

[31]  H. Fujiwara,et al.  Design for Testability of Software-Based Self-Test for Processors , 2006, 2006 15th Asian Test Symposium.

[32]  Shlomi Sde-Paz,et al.  Frequency and Power Correlation between At-Speed Scan and Functional Tests , 2008, 2008 IEEE International Test Conference.

[33]  Anand Raghunathan,et al.  Dynamic test sequence compaction for sequential circuits , 1996, Proceedings of 9th International Conference on VLSI Design.