Post-Silicon Validation and Debug
暂无分享,去创建一个
[1] Bernhard Schölkopf,et al. A Tutorial Introduction , 2001 .
[2] Yehuda Naveh,et al. Constraint-Based Random Stimuli Generation for Hardware Verification , 2006, AI Mag..
[3] Nicola Nicolici,et al. Automated Trace Signals Identification and State Restoration for Improving Observability in Post-Silicon Validation , 2008, 2008 Design, Automation and Test in Europe.
[4] Allon Adir,et al. Reaching Coverage Closure in Post-silicon Validation , 2010, Haifa Verification Conference.
[5] Sanjit A. Seshia,et al. Post-silicon validation opportunities, challenges and recent advances , 2010, Design Automation Conference.
[6] David Lin,et al. QED: Quick Error Detection tests for effective post-silicon validation , 2010, 2010 IEEE International Test Conference.
[7] Azadeh Davoodi,et al. Trace signal selection to enhance timing and logic visibility in post-silicon validation , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[8] Sandip Ray,et al. A Unified Formal Framework for Analyzing Functional and Speed-path Properties , 2011, 2011 12th International Workshop on Microprocessor Test and Verification.
[9] Prabhat Mishra,et al. Efficient Trace Signal Selection for Post Silicon Validation and Debug , 2011, 2011 24th Internatioal Conference on VLSI Design.
[10] Valeria Bertacco,et al. Simulation-based signal selection for state restoration in silicon debug , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[11] Sharad Malik,et al. Post-silicon fault localisation using maximum satisfiability and backbones , 2011, 2011 Formal Methods in Computer-Aided Design (FMCAD).
[12] Farzan Fallah,et al. Quick detection of difficult bugs for effective post-silicon validation , 2012, DAC Design Automation Conference 2012.
[13] Mingsong Chen,et al. System-Level Validation: High-Level Modeling and Directed Test Generation Techniques , 2012 .
[14] Masahiro Fujita,et al. Post-silicon patching for verification/debugging with high-level models and programmable logic , 2012, 17th Asia and South Pacific Design Automation Conference.
[15] Li Lei,et al. Post-silicon conformance checking with virtual prototypes , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[16] Steven J. E. Wilton,et al. Scalable Signal Selection for Post-Silicon Debug , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[17] Sandip Ray,et al. Scalable trace signal selection using machine learning , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).
[18] Daher Kaiss,et al. Post-silicon timing diagnosis made simple using formal technology , 2014, 2014 Formal Methods in Computer-Aided Design (FMCAD).
[19] Azadeh Davoodi,et al. Multi-mode trace signal selection for post-silicon debug , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
[20] Qiang Xu,et al. Trace-Based Post-Silicon Validation for VLSI Circuits , 2014, Lecture Notes in Electrical Engineering.
[21] Sayak Ray,et al. Template-based synthesis of instruction-level abstractions for SoC verification , 2015, 2015 Formal Methods in Computer-Aided Design (FMCAD).
[22] Sandip Ray,et al. The Changing Computing Paradigm With Internet of Things: A Tutorial Introduction , 2016, IEEE Design & Test.
[23] Sandip Ray,et al. Exploiting transaction level models for observability-aware post-silicon test generation , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[24] Masahiro Fujita,et al. Formally analyzing fault tolerance in datapath designs using equivalence checking , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
[25] Smruti R. Sarangi,et al. Managing Trace Summaries to Minimize Stalls During Postsilicon Validation , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[26] Masahiro Fujita,et al. A Methodology for Trace Signal Selection to Improve Error Detection in Post-Silicon Validation , 2017, 2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID).
[27] Nicola Nicolici,et al. Emulation Infrastructure for the Evaluation of Hardware Assertions for Post-Silicon Validation , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[28] Sandip Ray,et al. Postsilicon Trace Signal Selection Using Machine Learning Techniques , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[29] Sandip Ray,et al. Post-Silicon Validation in the SoC Era: A Tutorial Introduction , 2017, IEEE Design & Test.
[30] Avi Ziv,et al. Cost-effective analysis of post-silicon functional coverage events , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[31] Kamran Rahmani,et al. Feature-Based Signal Selection for Post-Silicon Debug Using Machine Learning , 2020, IEEE Transactions on Emerging Topics in Computing.