Real-time performance analysis of multiprocessor systems with shared memory

Predicting timing behavior is key to reliable real-time system design and verification, but becomes increasingly difficult for current multiprocessor systems on chip. The integration of formerly separate functionality into a single multicore system introduces new intercore timing dependencies resulting from the common use of the now shared resources. This feedback of system timing on local timing makes traditional performance analysis approaches inappropriate. This article presents a general methodology to model the shared resource traffic and consider its effect on the local task execution. The aggregate busy time captures the timing of multiple accesses to a shared memory far better than the traditional models that focus on the timing of individual events. An iterative approach is proposed to tackle the analysis dependencies that exist in systems with event-driven task activation and dynamic resource arbitration.

[1]  Georg Färber,et al.  Bounding worst-case access times in modern multiprocessor systems , 2005, 17th Euromicro Conference on Real-Time Systems (ECRTS'05).

[2]  R. Ernst,et al.  Memory Access Patterns for the Analysis of MPSoCs , 2006, 2006 IEEE North-East Workshop on Circuits and Systems.

[3]  Petru Eles,et al.  Schedulability analysis and optimization for the synthesis of multi-cluster distributed embedded systems , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[4]  Lothar Thiele,et al.  A general framework for analysing system properties in platform-based embedded system designs , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[5]  Frank Slomka,et al.  Hierarchical event streams and event dependency graphs: a new computational model for embedded real-time systems , 2006, 18th Euromicro Conference on Real-Time Systems (ECRTS'06).

[6]  Pascal Sainrat,et al.  A Predictable Simultaneous Multithreading Scheme for Hard Real-Time , 2008, ARCS.

[7]  Rolf Ernst,et al.  Reliable performance analysis of a multicore multithreaded system-on-chip , 2008, CODES+ISSS '08.

[8]  John P. Lehoczky,et al.  Fixed priority scheduling of periodic task sets with arbitrary deadlines , 1990, [1990] Proceedings 11th Real-Time Systems Symposium.

[9]  Marcel Verhoef,et al.  Timed automata based analysis of embedded system architectures , 2006, IPDPS.

[10]  Rolf Ernst,et al.  Scheduling analysis integration for heterogeneous multiprocessor SoC , 2003, RTSS 2003. 24th IEEE Real-Time Systems Symposium, 2003.

[11]  Simon Segars The ARM9 family-high performance microprocessors for embedded applications , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

[12]  John A. Clark,et al.  Holistic schedulability analysis for distributed hard real-time systems , 1994, Microprocess. Microprogramming.

[13]  Orlando Moreira,et al.  Predictable Embedded Multiprocessor System Design , 2004, SCOPES.

[14]  Stefan M. Petters Scheduling Analysis with Respect to Hardware Related Preemption Delay , 2001 .

[15]  Jakob Engblom,et al.  The worst-case execution-time problem—overview of methods and survey of tools , 2008, TECS.

[16]  Raimund Kirner,et al.  Obstacles in Worst-Case Execution Time Analysis , 2008, 2008 11th IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing (ISORC).

[17]  Aloysius K. Mok,et al.  A Multiframe Model for Real-Time Tasks , 1997, IEEE Trans. Software Eng..

[18]  Jean-Loup Baer,et al.  Worst-Case Execution Time Estimation for Hardware-Assisted Multithreaded Processors , 2004 .

[19]  J. Javier Gutiérrez,et al.  On the schedulability analysis for distributed hard real-time systems , 1997, RTS.

[20]  Axel Jantsch,et al.  Network Calculus Applied to Verification of Memory Access Performance in SoCs , 2007, 2007 IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia.

[21]  J. Javier Gutiérrez,et al.  MAST: Modeling and Analysis Suite for Real Time Applications , 2001, ECRTS.

[22]  Andy J. Wellings,et al.  Adding instruction cache effect to schedulability analysis of preemptive real-time systems , 1996, Proceedings Real-Time Technology and Applications.

[23]  Mathai Joseph,et al.  Finding Response Times in a Real-Time System , 1986, Comput. J..

[24]  Sebastian Altmeyer,et al.  A New Notion of Useful Cache Block to Improve the Bounds of Cache-Related Preemption Delay , 2009, 2009 21st Euromicro Conference on Real-Time Systems.

[25]  Alan Burns,et al.  An extendible approach for analyzing fixed priority hard real-time tasks , 1994, Real-Time Systems.

[26]  Gilbert Wolrich,et al.  The next generation of Intel IXP network processors , 2002 .

[27]  Jan Reineke,et al.  Memory Hierarchies, Pipelines, and Buses for Future Architectures in Time-Critical Embedded Systems , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  Konstantinos Bletsas,et al.  Extended analysis with reduced pessimism for systems with limited parallelism , 2005, 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA'05).

[29]  Edward A. Lee,et al.  Actor-Oriented Design of Embedded Hardware and Software Systems , 2003, J. Circuits Syst. Comput..

[30]  Klaus Gresser,et al.  An Event Model for Deadline Verification of Hard Real-Time Systems , 1993, Fifth Euromicro Workshop on Real-Time Systems.

[31]  Petru Eles,et al.  Timing Analysis of the FlexRay Communication Protocol , 2006, ECRTS.

[32]  Rolf Ernst,et al.  On the convergence of the SymTA / S analysis , 2008 .

[33]  Wang Yi,et al.  Timed automata as task models for event-driven systems , 1999, Proceedings Sixth International Conference on Real-Time Computing Systems and Applications. RTCSA'99 (Cat. No.PR00306).

[34]  Rolf Ernst,et al.  Scheduling analysis of real-time systems with precise modeling of cache related preemption delay , 2005, 17th Euromicro Conference on Real-Time Systems (ECRTS'05).

[35]  Hennadiy Leontyev,et al.  Real-Time Synchronization on Multiprocessors: To Block or Not to Block, to Suspend or Spin? , 2008, 2008 IEEE Real-Time and Embedded Technology and Applications Symposium.

[36]  Michele Cirinei,et al.  Response-Time Analysis for Globally Scheduled Symmetric Multiprocessor Platforms , 2007, 28th IEEE International Real-Time Systems Symposium (RTSS 2007).

[37]  Rolf Ernst,et al.  Bounding the shared resource load for the performance analysis of multiprocessor systems , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[38]  Petru Eles Predictable Implementation of Real-Time Applications on Multiprocessor Systems on Chip. , 2009 .

[39]  Hector Sanchez,et al.  PowerPC 603, a microprocessor for portable computers , 1994, IEEE Design & Test of Computers.

[40]  Pierre G. Paulin,et al.  StepNP: A System-Level Exploration Platform for Network Processors , 2002, IEEE Des. Test Comput..

[41]  Martin Schoeberl,et al.  Is Chip-Multiprocessing the End of Real-Time Scheduling? , 2009, WCET.

[42]  Michael González Harbour,et al.  Schedulability analysis for tasks with static and dynamic offsets , 1998, Proceedings 19th IEEE Real-Time Systems Symposium (Cat. No.98CB36279).

[43]  Rolf Ernst,et al.  Scalable precision cache analysis for real-time software , 2007, TECS.

[44]  Chang-Gun Lee,et al.  Bounding Cache-Related Preemption Delay for Real-Time Systems , 2001, IEEE Trans. Software Eng..

[45]  Rolf Ernst,et al.  Integrated analysis of communicating tasks in MPSoCs , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

[46]  Thomas A. Henzinger,et al.  An Interface Algebra for Real-Time Components , 2006, 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'06).

[47]  Rudy Lauwereins,et al.  Design, Automation, and Test in Europe , 2008 .

[48]  Rolf Ernst,et al.  Response Time Analysis on Multicore ECUs With Shared Resources , 2009, IEEE Transactions on Industrial Informatics.

[49]  Rolf Ernst,et al.  Providing accurate event models for the analysis of heterogeneous multiprocessor systems , 2008, CODES+ISSS '08.