A Test Screening Method for 28 nm HK/MG Single-Port and Dual-Port SRAMs Considering with Dynamic Stability and Read/Write Disturb Issues
暂无分享,去创建一个
Hidehiro Fujiwara | Koji Nii | Yuichiro Ishii | Makoto Yabuuchi | Yasumasa Tsukamoto | Kazuyoshi Okamoto
[1] K. Ishibashi,et al. A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits , 2007, IEEE Journal of Solid-State Circuits.
[2] C. Radens,et al. A Sub-600-mV, Fluctuation Tolerant 65-nm CMOS SRAM Array With Dynamic Cell Biasing , 2008, IEEE Journal of Solid-State Circuits.
[3] M. Khellah,et al. Wordline & Bitline Pulsing Schemes for Improving SRAM Cell Stability in Low-Vcc 65nm CMOS Designs , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[4] Koji Nii,et al. Worst-case analysis to obtain stable read/write DC margin of high density 6T-SRAM-array with local Vth variability , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[5] Mohammad Sharifkhani,et al. Dynamic Data Stability in Low-power SRAM Design , 2007, 2007 IEEE Custom Integrated Circuits Conference.
[6] Lara Dolecek,et al. Breaking the simulation barrier: SRAM evaluation through norm minimization , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[7] Hidehiro Fujiwara,et al. A 28 nm Dual-Port SRAM Macro With Screening Circuitry Against Write-Read Disturb Failure Issues , 2010, IEEE Journal of Solid-State Circuits.
[8] Zheng Guo,et al. Characterization of Dynamic SRAM Stability in 45 nm CMOS , 2011, IEEE Journal of Solid-State Circuits.
[9] E. Seevinck,et al. Static-noise margin analysis of MOS SRAM cells , 1987 .
[10] M. Yamaoka,et al. A cell-activation-time controlled SRAM for low-voltage operation in DVFS SoCs using dynamic stability analysis , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.
[11] M.J.M. Pelgrom,et al. Matching properties of MOS transistors , 1989 .
[12] Yehea I. Ismail,et al. Accurate Estimation of SRAM Dynamic Stability , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[13] Koji Nii,et al. A 45nm Low-Standby-Power Embedded SRAM with Improved Immunity Against Process and Temperature Variations , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[14] Zheng Guo,et al. Dynamic SRAM stability characterization in 45nm CMOS , 2010, 2010 Symposium on VLSI Circuits.
[15] Koji Nii,et al. Dynamic stability in minimum operating voltage Vmin for single-port and dual-port SRAMs , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).
[16] Kazuya Masu,et al. Robust importance sampling for efficient SRAM yield analysis , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[17] H. Pilo,et al. An SRAM Design in 65-nm Technology Node Featuring Read and Write-Assist Circuits to Expand Operating Voltage , 2007, IEEE Journal of Solid-State Circuits.
[18] S. Kosonocky,et al. A Sub-600mV, Fluctuation tolerant 65nm CMOS SRAM Array with Dynamic Cell Biasing , 2007, 2007 IEEE Symposium on VLSI Circuits.
[19] K. Nii,et al. 90-nm process-variation adaptive embedded SRAM modules with power-line-floating write technique , 2006, IEEE Journal of Solid-State Circuits.
[20] M. Khellah,et al. Effect of Power Supply Noise on SRAM Dynamic Stability , 2007, 2007 IEEE Symposium on VLSI Circuits.
[21] Rajiv V. Joshi,et al. Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events , 2006, 2006 43rd ACM/IEEE Design Automation Conference.