Worst-Case Energy Consumption: A New Challenge for Battery-Powered Critical Devices
暂无分享,去创建一个
Francisco J. Cazorla | Jaume Abella | Carles Hernandez | David Trilla | J. Abella | F. Cazorla | Carles Hernández | David Trilla
[1] Waltenegus Dargie,et al. A Stochastic Model for Estimating the Power Consumption of a Processor , 2015, IEEE Transactions on Computers.
[2] Kerstin Eder,et al. On the infeasibility of analysing worst-case dynamic energy , 2016, ArXiv.
[3] Sujit Dey,et al. Considering Process Variations During System-Level Power Analysis , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[4] Sally A. McKee,et al. A Methodology for Modeling Dynamic and Static Power Consumption for Multicore Processors , 2016, 2016 IEEE International Parallel and Distributed Processing Symposium (IPDPS).
[5] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[6] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[7] Lizy Kurian John,et al. System-level Max POwer (SYMPO) - a systematic approach for escalating system-level power consumption using synthetic benchmarks , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).
[8] David Black-Schaffer,et al. Micro-architecture independent analytical processor performance and power modeling , 2015, 2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[9] Samuel Kounev,et al. Variations in CPU Power Consumption , 2016, ICPE.
[10] David Blaauw,et al. Drowsy caches: simple techniques for reducing leakage power , 2002, ISCA.
[11] Xianfeng Li,et al. Estimating the Worst-Case Energy Consumption of Embedded Software , 2006, 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'06).
[12] Trent McConaghy. Analog behavior in custom IC variation-aware design , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[13] Vincent M. Weaver,et al. A Validation of DRAM RAPL Power Measurements , 2016, MEMSYS.
[14] S.A. Saller,et al. Reliability effects on MOS transistors due to hot-carrier injection , 1985, IEEE Transactions on Electron Devices.
[15] Gurindar S. Sohi,et al. A static power model for architects , 2000, MICRO 33.
[16] Whole Grain Label Statements. Guidance for Industry and FDA Staff , 2006 .
[17] Francisco J. Cazorla,et al. PUB: Path Upper-Bounding for Measurement-Based Probabilistic Timing Analysis , 2014, 2014 26th Euromicro Conference on Real-Time Systems.
[18] Kerstin Eder,et al. Data Dependent Energy Modeling for Worst Case Energy Consumption Analysis , 2015, SCOPES.
[19] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[20] Bishop Brock,et al. Adaptive energy-management features of the IBM POWER7 chip , 2011, IBM J. Res. Dev..
[21] Sriram Sankaran,et al. Predictive modeling based power estimation for embedded multicore systems , 2016, Conf. Computing Frontiers.
[22] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[23] Mitsuru Hiraki,et al. Pre-silicon parameter generation methodology using BSIM3 for circuit performance-oriented device optimization , 2001 .
[24] Massoud Pedram,et al. High-level Power Modeling, Estimation, And Optimization , 1997, Proceedings of the 34th Design Automation Conference.
[25] Jaume Abella,et al. Electromigration for microarchitects , 2010, CSUR.
[26] Pradip Bose,et al. Voltage Noise in Multi-Core Processors: Empirical Characterization and Optimization Opportunities , 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.
[27] Dieter K. Schroder,et al. Negative bias temperature instability: What do we understand? , 2007, Microelectron. Reliab..
[28] Tobias Distler,et al. Worst-Case Energy Consumption Analysis for Energy-Constrained Embedded Systems , 2015, 2015 27th Euromicro Conference on Real-Time Systems.
[29] Carsten Griwodz,et al. High-Precision Power Modelling of the Tegra K1 Variable SMP Processor Architecture , 2016, 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC).
[30] Francisco J. Cazorla,et al. Power and thermal characterization of POWER6 system , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).
[31] Massoud Pedram,et al. Power conscious CAD tools and methodologies: a perspective , 1995, Proc. IEEE.
[32] Pradip Bose. Pre-Silicon Modeling and Analysis: Impact On Real Design , 2006, IEEE Micro.
[33] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[34] Gianluca Palermo,et al. CONTREX: Design of Embedded Mixed-Criticality CONTRol Systems under Consideration of EXtra-Functional Properties , 2016, 2016 Euromicro Conference on Digital System Design (DSD).