A Unified Approach to Mapping and Routing on a Network-on-Chip for Both Best-Effort and Guaranteed Service Traffic

One of the key steps in Network-on-Chip-based design is spatial mapping of cores and routing of the communication between those cores. Known solutions to the mapping and routing problems first map cores onto a topology and then route communication, using separate and possibly conflicting objective functions. In this paper, we present a unified single-objective algorithm, called Unified MApping, Routing, and Slot allocation (UMARS+). As the main contribution, we show how to couple path selection, mapping of cores, and channel time-slot allocation to minimize the network required to meet the constraints of the application. The time-complexity of UMARS+ is low and experimental results indicate a run-time only 20% higher than that of path selection alone. We apply the algorithm to an MPEG decoder System-on-Chip, reducing area by 33%, power dissipation by 35%, and worst-case latency by a factor four over a traditional waterfall approach.

[1]  Lionel M. Ni,et al.  The Turn Model for Adaptive Routing , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

[2]  李幼升,et al.  Ph , 1989 .

[3]  Kang G. Shin,et al.  Support for Multiple Classes of Traffic in Multicomputer Routers , 1994, PCRCW.

[4]  Kees G. W. Goossens,et al.  Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip , 2003, DATE.

[5]  K. Keutzer,et al.  System-level design: orthogonalization of concerns andplatform-based design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[6]  F. Schirrmeister,et al.  Methodology and technology for virtual component driven hardware/software co-design on the system-level , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).

[7]  Radu Marculescu,et al.  Key research problems in NoC design: a holistic perspective , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[8]  Prasant Mohapatra,et al.  Wormhole routing techniques for directly connected multicomputer systems , 1998, CSUR.

[9]  Luca Benini,et al.  NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.

[10]  Henning Schulzrinne,et al.  Real-time communication in packet-switched networks , 1994, Proc. IEEE.

[11]  Karol Kowalik,et al.  Should QoS routing algorithms prefer shortest paths? , 2003, IEEE International Conference on Communications, 2003. ICC '03..

[12]  Mark G. Karpovsky,et al.  Application of network calculus to general topologies using turn-prohibition , 2003, TNET.

[13]  Azer Bestavros,et al.  A load profiling approach to routing guaranteed bandwidth flows , 1997, Proceedings. IEEE INFOCOM '98, the Conference on Computer Communications. Seventeenth Annual Joint Conference of the IEEE Computer and Communications Societies. Gateway to the 21st Century (Cat. No.98.

[14]  Radu Marculescu,et al.  Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures , 2003, DATE.

[15]  Kees G. W. Goossens,et al.  A unified approach to constrained mapping and routing on network-on-chip architectures , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[16]  Peter Steenkiste,et al.  On path selection for traffic with bandwidth guarantees , 1997, Proceedings 1997 International Conference on Network Protocols.

[17]  Ron Widyono The Design and Evaluation of Routing Algorithms for Real-time Channels , 1994 .

[18]  Kees G. W. Goossens,et al.  Cost-performance trade-offs in networks on chip: a simulation-based approach , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[19]  Lionel M. Ni,et al.  A survey of wormhole routing techniques in direct networks , 1993, Computer.

[20]  Pierre Guerrier,et al.  Un réseau d'Interconnexion pour systèmes Intégrés , 2000 .

[21]  Pierre Fraigniaud,et al.  A General Theory for Deadlock Avoidance in Wormhole-Routed Networks , 1998, IEEE Trans. Parallel Distributed Syst..

[22]  Om Prakash Gangwal,et al.  Building Predictable Systems on Chip: An Analysis of Guaranteed Communication in the Aethereal Network on Chip , 2005 .

[23]  Ariel Orda,et al.  QoS Routing Mechanisms and OSPF Extensions , 1999, RFC.

[24]  Panos M. Pardalos,et al.  The Quadratic Assignment Problem: A Survey and Recent Developments , 1993, Quadratic Assignment and Related Problems.

[25]  Gang Liu,et al.  A*Prune: an algorithm for finding K shortest paths subject to multiple constraints , 2001, Proceedings IEEE INFOCOM 2001. Conference on Computer Communications. Twentieth Annual Joint Conference of the IEEE Computer and Communications Society (Cat. No.01CH37213).

[26]  William J. Dally,et al.  Virtual-channel flow control , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.

[27]  Kees G. W. Goossens,et al.  A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification , 2005, Design, Automation and Test in Europe.

[28]  Hui Zhang,et al.  Service disciplines for guaranteed performance service in packet-switching networks , 1995, Proc. IEEE.

[29]  L. Benini,et al.  Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[30]  Ge-Ming Chiu,et al.  The Odd-Even Turn Model for Adaptive Routing , 2000, IEEE Trans. Parallel Distributed Syst..

[31]  Koushik Kar,et al.  Minimum interference routing of bandwidth guaranteed tunnels with MPLS traffic engineering applications , 2000, IEEE Journal on Selected Areas in Communications.

[32]  Daniel H. Linder,et al.  An Adaptive and Fault Tolerant Wormhole Routing Strategy for k-Ary n-Cubes , 1994, IEEE Trans. Computers.

[33]  Ariel Orda,et al.  Networks with advance reservations: the routing perspective , 2000, Proceedings IEEE INFOCOM 2000. Conference on Computer Communications. Nineteenth Annual Joint Conference of the IEEE Computer and Communications Societies (Cat. No.00CH37064).

[34]  Sander Stuijk,et al.  Resource-Efficient Routing and Scheduling of Time-Constrained Network-on-Chip Communication , 2006, 9th EUROMICRO Conference on Digital System Design (DSD'06).

[35]  Nick McKeown,et al.  Scheduling algorithms for input-queued cell switches , 1996 .

[36]  Krishnan Srinivasan,et al.  A Low Complexity Heuristic for Design of Custom Network-on-Chip Architectures , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[37]  Axel Jantsch,et al.  Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[38]  Markus Fidler,et al.  Routing in Turn-Prohibition Based Feed-Forward Networks , 2004, NETWORKING.

[39]  Jörg Henkel,et al.  A design methodology for application-specific networks-on-chip , 2006, TECS.

[40]  Radu Marculescu,et al.  Application-specific network-on-chip architecture customization via long-range link insertion , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[41]  Srinivasan Murali,et al.  SUNMAP: a tool for automatic topology selection and generation for NoCs , 2004, Proceedings. 41st Design Automation Conference, 2004..

[42]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[43]  Radu Marculescu,et al.  DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..

[44]  Radu Marculescu,et al.  Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.

[45]  Timothy Mark Pinkston,et al.  A methodology for designing efficient on-chip interconnects on well-behaved communication patterns , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

[46]  Ion Stoica,et al.  Stateless Core: A Scalable Approach for Quality of Service in the Internet , 2004, Lecture Notes in Computer Science.

[47]  Alberto L. Sangiovanni-Vincentelli,et al.  Addressing the system-on-a-chip interconnect woes through communication-based design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[48]  Jens Sparsø,et al.  A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip , 2005, Design, Automation and Test in Europe.

[49]  William J. Dally,et al.  Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.

[50]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[51]  Luca Benini Application Specific NoC Design , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[52]  Jari Nurmi,et al.  Buffer implementation for Proteo network-on-chip , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

[53]  Russell Tessier,et al.  Adaptive system on a chip (ASOC): a backbone for power-aware signal processing cores , 2003, Proceedings 2003 International Conference on Image Processing (Cat. No.03CH37429).

[54]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).