On-line characterization and reconfiguration for single event upset variations

The amount of physical variation among electronic components on a die is increasing rapidly. There is a need for a better understanding of variations in transient fault susceptibility, and for methods of on-line adaptation to such variations. We address three key research questions in this area. First, we investigate accelerated characterization of individual latch susceptibilities. We find that on the order of 10 upsets per latch must be observed for variations to be adequately characterized. Second, we propose a method of on-line hardware reconfiguration using incremental place-and-route on FPGAs. Surprisingly, we find that highly localized place-and-route changes (e.g. restricted to groups of 8 flip-flops) are sufficient for realizing most of the possible benefits. Lastly, we quantify potential improvements in system-level soft error rates via Monte Carlo simulation experiments. The study highlights both what is required for and what can be gained by on-line adaptation.

[1]  Neil Joseph Steiner Autonomous Computing Systems , 2008 .

[2]  David J. Lilja,et al.  Improving nanoelectronic designs using a statistical approach to identify key parameters in circuit level SEU simulations , 2007, 2007 IEEE International Symposium on Nanoscale Architectures.

[3]  Massimo Violante,et al.  A new reliability-oriented place and route algorithm for SRAM-based FPGAs , 2006, IEEE Transactions on Computers.

[4]  P. Adell Assessing and Mitigating Radiation Effects in Xilinx FPGAs , 2008 .

[5]  John P. Hayes,et al.  Transient fault characterization in dynamic noisy environments , 2005, IEEE International Conference on Test, 2005..

[6]  Peter Y. K. Cheung,et al.  Within-die delay variability in 90nm FPGAs and beyond , 2006, 2006 IEEE International Conference on Field Programmable Technology.

[7]  L. Massengill,et al.  Effects of Random Dopant Fluctuations (RDF) on the Single Event Vulnerability of 90 and 65 nm CMOS Technologies , 2007, IEEE Transactions on Nuclear Science.

[8]  Abhijit Chatterjee,et al.  Probabilistic Self-Adaptation of Nanoscale CMOS Circuits: Yield Maximization under Increased Intra-Die Variations , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[9]  Tino Heijmen Soft-Error Vulnerability of Sub-100-nm Flip-Flops , 2008, 2008 14th IEEE International On-Line Testing Symposium.

[10]  Charles E. Stroud,et al.  On-line BIST and diagnosis of FPGA interconnect using roving STARs , 2001, Proceedings Seventh International On-Line Testing Workshop.

[11]  Kazutoshi Kobayashi,et al.  A yield and speed enhancement scheme under within-die variations on 90nm LUT array , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[12]  A. Comerma,et al.  Heavy Ion Test Results in a CMOS triple Voting Register for a High-Energy Physics Experiment , 2007, 13th IEEE International On-Line Testing Symposium (IOLTS 2007).

[13]  裕幸 飯田,et al.  International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .

[14]  Ingrid Verbauwhede,et al.  Intrinsic PUFs from Flip-flops on Reconfigurable Devices , 2008 .

[15]  Dhiraj K. Pradhan,et al.  SEU-Mitigation Placement and Routing Algorithms and Their Impact in SRAM-Based FPGAs , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[16]  Dhiraj K. Pradhan,et al.  CAD-Directed SEU Susceptibility Reduction in FPGA Circuits Designs , 2007, 2007 IEEE International Symposium on Circuits and Systems.

[17]  J. Gal-Edd,et al.  L2-James Webb Space Telescope operationally friendly environment? , 2004, 2004 IEEE Aerospace Conference Proceedings (IEEE Cat. No.04TH8720).

[18]  Chen-Yong Cher,et al.  Variation-aware thermal characterization and management of multi-core architectures , 2008, 2008 IEEE International Conference on Computer Design.

[19]  G Allen,et al.  Assessing and mitigating radiation effects in Xilinx SRAM FPGAs , 2008, 2008 European Conference on Radiation and Its Effects on Components and Systems.

[20]  Tao Li,et al.  Soft error vulnerability aware process variation mitigation , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[21]  John P. Hayes,et al.  High-level vulnerability over space and time to insidious soft errors , 2008, 2008 IEEE International High Level Design Validation and Test Workshop.

[22]  T. Heijmen,et al.  A Comprehensive Study on the Soft-Error Rate of Flip-Flops From 90-nm Production Libraries , 2007, IEEE Transactions on Device and Materials Reliability.

[23]  Gustavo Ribeiro Alves,et al.  DRAFT: an on-line fault detection method for dynamic and partially reconfigurable FPGAs , 2001, Proceedings Seventh International On-Line Testing Workshop.

[24]  G.M. Swift,et al.  Single Event Effects Test Results for Advanced Field Programmable Gate Arrays , 2006, 2006 IEEE Radiation Effects Data Workshop.

[25]  N. Seifert,et al.  Timing vulnerability factors of sequentials , 2004, IEEE Transactions on Device and Materials Reliability.

[26]  Philippe Roche,et al.  Factors that impact the critical charge of memory elements , 2006, 12th IEEE International On-Line Testing Symposium (IOLTS'06).

[27]  M. Wirthlin,et al.  Fine-Grain SEU Mitigation for FPGAs Using Partial TMR , 2008, IEEE Transactions on Nuclear Science.

[28]  Gary Swift,et al.  VIRTEX-4 VQ static SEU Characterization Summary , 2008 .

[29]  Sandip Kundu,et al.  A Built-In Self-Test Scheme for Soft Error Rate Characterization , 2008, 2008 14th IEEE International On-Line Testing Symposium.