VLSI interconnects and their testing: prospects and challenges ahead

Purpose – The purpose of this paper is to explore the functioning of very‐large‐scale integration (VLSI) interconnects and modeling of interconnects and evaluate different approaches of testing interconnects.Design/methodology/approach – In the past, on‐chip interconnect wires were not considered in circuit analysis except in high precision analysis. Wiring‐up of on‐chip devices takes place through various conductors produced during fabrication process. The shrinking size of metal‐oxide semiconductor field effect transistor devices is largely responsible for growth of VLSI circuits. With deep sub‐micron (DSM) technology, the interconnect geometry is scaled down for high wiring density. The complex geometry of interconnects and high operational frequency introduce wire parasitics and inter‐wire parasitics. These parasitics causes delay, power dissipation, and crosstalk that may affect the signal integrity in VLSI system. Accurate analysis, sophisticated design, and effective test methods are the requiremen...

[1]  Angelo Brambilla,et al.  Rigorous event-driven (RED) analysis of large-scale nonlinear RC circuits , 2001 .

[2]  Andrew B. Kahng,et al.  Noise and delay uncertainty studies for coupled RC interconnects , 1999, Twelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454).

[3]  Melvin A. Breuer,et al.  Validation and test generation for oscillatory noise in VLSI interconnects , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[4]  Nur A. Touba,et al.  Circular BIST with state skipping , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[5]  Selahattin Sayil,et al.  Precise estimation of crosstalk in multiline circuits , 2007 .

[6]  G. Miano,et al.  An enhanced transmission line model for conductors with arbitrary cross sections , 2005, IEEE Transactions on Advanced Packaging.

[7]  Malgorzata Marek-Sadowska,et al.  Crosstalk reduction for VLSI , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  Andrew B. Kahng,et al.  Interconnect optimization strategies for high-performance VLSI designs , 1999, Proceedings Twelfth International Conference on VLSI Design. (Cat. No.PR00013).

[9]  Ramachandra Achar,et al.  Addressing high frequency effects in VLSI interconnects with full wave model and CFH , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[10]  Xiaole Xu,et al.  An approach to the analysis and detection of crosstalk faults in digital VLSI circuits , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[11]  A. Maffucci,et al.  An enhanced transmission line model for conducting wires , 2004, IEEE Transactions on Electromagnetic Compatibility.

[12]  Russell Tessier,et al.  Testing and diagnosis of interconnect faults in cluster-based FPGA architectures , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  Sungho Kang,et al.  MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs , 2007, J. Electron. Test..

[14]  Lawrence T. Pileggi,et al.  Asymptotic waveform evaluation for timing analysis , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Yongjun Xu,et al.  Non-robust Test Generation for Crosstalk-Induced Delay Faults , 2005, 14th Asian Test Symposium (ATS'05).

[16]  W. Zamboni,et al.  Signal integrity analysis of high-speed interconnects through a full-wave transmission line model , 2005, Proceedings. 9th IEEE Workshop on Signal Propagation on Interconnects, 2005..

[17]  W. Zamboni,et al.  Evaluation of Crosstalk in High-Frequency Interconnects with an Enhanced Transmission Line Model , 2006, 2006 IEEE Electrical Performane of Electronic Packaging.

[18]  Haluk Konuk Voltage- and current-based fault simulation for interconnect open defects , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[19]  Sujit Dey,et al.  Fault modeling and simulation for crosstalk in system-on-chip interconnects , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[20]  Jacob A. Abraham,et al.  Critical Path Selection for Delay Testing Considering Coupling Noise , 2009, J. Electron. Test..

[21]  S. Y. Kulkarni,et al.  Multichip module structures for minimising crosstalk effects in high-speed applications , 1995, 1995 International Conference on Electromagnetic Interference and Compatibility (INCEMIC).

[22]  Sujit Dey,et al.  Self-test methodology for at-speed test of crosstalk in chip interconnects , 2000, DAC.

[23]  Melvin A. Breuer,et al.  Test Generation for Crosstalk-Induced Faults: Framework and Computational Results , 2002, J. Electron. Test..

[24]  Melvin A. Breuer,et al.  Test generation for crosstalk-induced delay in integrated circuits , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[25]  Andrew B. Kahng,et al.  Noise model for multiple segmented coupled RC interconnects , 2001, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.

[26]  José M. Miranda A BIST and Boundary-Scan Economics Framework , 1997, IEEE Des. Test Comput..

[27]  Antonio Rubio,et al.  Logic fault model for crosstalk interferences in digital circuits , 1989 .

[28]  D. A. Priore Inductance on silicon for sub-micron CMOS VLSI , 1993, Symposium 1993 on VLSI Circuits.

[29]  Yehea Ismail,et al.  Figures of merit to characterize the importance of on-chip inductance , 1999 .

[30]  Peivand F. Tehrani,et al.  Deep sub-micron static timing analysis in presence of crosstalk , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[31]  Cheng-Wen Wu,et al.  BIST-based diagnosis scheme for field programmable gate array interconnect delay faults , 2007, IET Comput. Digit. Tech..

[32]  Sujit Dey,et al.  Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores , 2002, J. Electron. Test..

[33]  Haluk Konuk Fault simulation of interconnect opens in digital CMOS circuits , 1997, ICCAD 1997.

[34]  Sujit Dey,et al.  LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects , 2003, J. Electron. Test..

[35]  James D. Meindl,et al.  Compact distributed RLC interconnect models. I. Single line transient, time delay, and overshoot expressions , 2000 .

[36]  Rajendran Panda,et al.  Analysis of noise avoidance techniques in DSM interconnects using a complete crosstalk noise model , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[37]  Alejandro Girón,et al.  A Test Generation Methodology for Interconnection Opens Considering Signals at the Coupled Lines , 2008, J. Electron. Test..

[38]  Sujit Dey,et al.  Fault-coverage analysis techniques of crosstalk in chip interconnects , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[39]  Sungho Kang,et al.  ATPG-XP: Test Generation for Maximal Crosstalk-Induced Faults , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[40]  Christos A. Papachristou,et al.  Improving bus test via IDDT and boundary scan , 2001, DAC '01.

[41]  Sujit Dey,et al.  Modeling and minimization of interconnect energy dissipation in nanometer technologies , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[42]  Brajesh Kumar Kaushik,et al.  Crosstalk Analysis of an Inductively and Capacitively Coupled Interconnect Driven by a CMOS Gate , 2007 .

[43]  J. C. Chan An improved technique for circuit board interconnect test , 1992 .

[44]  Naresh Chauhan,et al.  Analyzing Anchor-Links to Extract Semantic Inferences of a Web Page , 2007 .

[45]  Ke Wu Electromagnetic analysis of multiconductor losses and dispersion in high-speed interconnects , 1994 .

[46]  Abhijit Chatterjee,et al.  Switching activity generation with automated BIST synthesis forperformance testing of interconnects , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[47]  Mehrdad Nourani,et al.  Testing interconnects for noise and skew in gigahertz SoCs , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[48]  Melvin A. Breuer,et al.  Test generation in VLSI circuits for crosstalk noise , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[49]  Antonio Rubio,et al.  An approach to crosstalk effect analysis and avoidance techniques in digital CMOS VLSI circuits , 1988 .

[50]  Christos A. Papachristou,et al.  A method for detecting interconnect DSM defects in systems on chip , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[51]  Camelia Hora,et al.  Diagnosis of Full Open Defects in Interconnecting Lines , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[52]  J. Mucha,et al.  Built-in self-test: Early developments and future trends , 1996 .

[53]  Antonio Maffucci,et al.  Full-wave transmission-line theory , 2003 .

[54]  Charles E. Stroud A Designer's Guide to Built-In Self-Test , 2002 .

[55]  Paolo Maffezzoni,et al.  Efficient method for simulating time delays of distributed interconnections in VLSI circuits , 1999 .

[56]  Charles E. Stroud,et al.  BIST-Based Delay-Fault Testing in FPGAs , 2002, Proceedings of the Eighth IEEE International On-Line Testing Workshop (IOLTW 2002).

[57]  Shahin Nazarian,et al.  Crosstalk-affected delay analysis in nanometer technologies , 2008 .

[58]  A. Rubio,et al.  Analysis of crosstalk interference in CMOS integrated circuits , 1992 .

[59]  Dong Chul Park,et al.  A simple method of crosstalk reduction by metal filled via hole fence in bent transmission lines on PCBs , 2006, 2006 17th International Zurich Symposium on Electromagnetic Compatibility.

[60]  Jacob Coetzee,et al.  Full-wave characterization of the crosstalk reduction effect of an additional grounded track introduced between two printed circuit tracks , 1996 .

[61]  Malgorzata Marek-Sadowska,et al.  Timing-Aware Multiple-Delay-Fault Diagnosis , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

[62]  Dariush Mirshekar-Syahkal,et al.  Spectral domain method for microwave integrated circuits , 1990 .

[63]  Chai Wah Wu,et al.  An improved VLSI test economics analysis system , 1998 .

[64]  Jacob A. Abraham,et al.  Critical Path Selection for Delay Test Considering Coupling Noise , 2008, 2009 14th IEEE European Test Symposium.

[65]  Weng Cho Chew,et al.  A full-wave model of wire structures with arbitrary cross sections , 2003 .

[66]  R. Abhari,et al.  Using via fences for crosstalk reduction in PCB circuits , 2006, 2006 IEEE International Symposium on Electromagnetic Compatibility, 2006. EMC 2006..

[67]  Mehrdad Nourani,et al.  Testing SoC interconnects for signal integrity using extended JTAG architecture , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[68]  N. Touba Obtaining high fault coverage with circular BIST via state skipping , 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).

[69]  Tian Xia,et al.  High-Resolution Delay Testing of Interconnect Paths in Field-Programmable Gate Arrays , 2009, IEEE Transactions on Instrumentation and Measurement.

[70]  Samiha Mourad,et al.  Crosstalk Induced Fault Analysis and Test in DRAMs , 2006, J. Electron. Test..

[71]  C.C. Liu,et al.  Crosstalk attenuation with ground plane structures in three-dimensionally integrated mixed signal systems , 2005, IEEE MTT-S International Microwave Symposium Digest, 2005..

[72]  A. K. Goel,et al.  Modelling of crosstalk among the GaAs-based VLSI interconnections , 1989 .

[73]  Sachin S. Sapatnekar,et al.  Exact and efficient crosstalk estimation , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[74]  Shyh-Jye Jou,et al.  Decentralized BIST Methodology for System Level Interconnects , 1999, J. Electron. Test..

[75]  G. Servel,et al.  A full-wave analysis of submicronic circuits in the microwave frequency range to estimate the input shape influence over VLSI interconnect performances , 1999 .

[76]  Benoit Nadeau-Dostie,et al.  BIST of PCB interconnects using boundary-scan architecture , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[77]  A. Gattiker,et al.  To DFT or not to DFT? , 1997, Proceedings International Test Conference 1997.

[78]  Kang Sung-Mo CMOS digital integrated circuits: analysis and design / Sung-Mo (Steve) Kang, Yusuf Leblebici , 2003 .

[79]  M.A. Elgamel,et al.  Interconnect noise analysis and optimization in deep submicron technology , 2003, IEEE Circuits and Systems Magazine.

[80]  Jacob A. Abraham,et al.  Automatic test pattern generation for crosstalk glitches in digital circuits , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

[81]  Huawei Li,et al.  Robust test generation for precise crosstalk-induced path delay faults , 2006, 24th IEEE VLSI Test Symposium.

[82]  Brajesh Kumar Kaushik,et al.  Crosstalk analysis for a CMOS gate driven inductively and capacitively coupled interconnects , 2008, Microelectron. J..

[83]  Jason Cong,et al.  Improved crosstalk modeling for noise constrained interconnect optimization , 2001, ASP-DAC '01.

[84]  Huawei Li,et al.  Selection of Crosstalk-Induced Faults in Enhanced Delay Test , 2005, J. Electron. Test..

[85]  Brajesh Kumar Kaushik,et al.  Crosstalk Analysis for a CMOS-Gate-Driven Coupled Interconnects , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[86]  Jan M. Rabaey,et al.  Digital Integrated Circuits: A Design Perspective , 1995 .

[87]  Dongsheng Wang,et al.  Post global routing crosstalk synthesis , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[88]  Sunil R. Das,et al.  Test-set embedding based on width compression for mixed-mode BIST , 2000, IEEE Trans. Instrum. Meas..

[89]  Malgorzata Marek-Sadowska,et al.  Crosstalk in VLSI interconnections , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[90]  Charles E. Stroud,et al.  BIST-based diagnosis of FPGA interconnect , 2002, Proceedings. International Test Conference.

[91]  Tian Xia,et al.  An Automated BIST Architecture for Testing and Diagnosing FPGA Interconnect Faults , 2006, J. Electron. Test..

[92]  Mehrdad Nourani,et al.  Signal Integrity: Fault Modeling and Testing in High-Speed SoCs , 2002, J. Electron. Test..

[93]  Miquel Roca,et al.  Inductance in VLSI interconnection modelling , 1998 .

[94]  S.M. Reddy,et al.  Interconnect Open Defect Diagnosis with Physical Information , 2006, 2006 15th Asian Test Symposium.

[95]  Shin Min Kang,et al.  CMOS Digital Integrated Cir-cuits: Analysis and Design , 2002 .

[96]  G. Goussetis,et al.  Correction of Dielectric Losses in Practical Leaky-wave Antenna Designs , 2007 .

[97]  Will R. Moore,et al.  Delay-fault testing and defects in deep sub-micron ICs-does critical resistance really mean anything? , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[98]  Tony Ambler,et al.  Economics of Built-in Self-Test , 2001, IEEE Des. Test Comput..

[99]  Cheng-Wen Wu,et al.  Cost and benefit models for logic and memory BIST , 2000, DATE '00.

[100]  Andreas Steininger,et al.  Testing and built-in self-test - A survey , 2000, J. Syst. Archit..

[101]  H. Al-Asaad,et al.  On-line built-in self-test for operational faults , 2000, 2000 IEEE Autotestcon Proceedings. IEEE Systems Readiness Technology Conference. Future Sustainment for Military Aerospace (Cat. No.00CH37057).