A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor
暂无分享,去创建一个
[1] Irith Pomeranz,et al. Transient-fault recovery for chip multiprocessors , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[2] H. Ando,et al. A 1.3GHz fifth generation SPARC64 microprocessor , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[3] Gurindar S. Sohi,et al. Dynamic dead-instruction detection and elimination , 2002, ASPLOS X.
[4] Brad Calder,et al. Automatically characterizing large scale program behavior , 2002, ASPLOS X.
[5] Arun K. Somani,et al. Soft error sensitivity characterization for microprocessor dependability enhancement strategy , 2002, Proceedings International Conference on Dependable Systems and Networks.
[6] Lorenzo Alvisi,et al. Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.
[7] Shubhendu S. Mukherjee,et al. Detailed design and evaluation of redundant multi-threading alternatives , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.
[8] Shubhendu S. Mukherjee,et al. Asim: A Performance Model Framework , 2002, Computer.
[9] Sanjay J. Patel,et al. Performance characterization of a hardware mechanism for dynamic optimization , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[10] Youngsoo Choi,et al. The impact of If-conversion and branch prediction on program execution on the Intel/sup R/ Itanium/sup TM/ processor , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[11] K. Soumyanath,et al. Scaling trends of cosmic ray induced soft errors in static latches beyond 0.18 /spl mu/ , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).
[12] Shubhendu S. Mukherjee,et al. Transient fault detection via simultaneous multithreading , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[13] Todd M. Austin,et al. DIVA: a reliable substrate for deep submicron microarchitecture design , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[14] Eric Rotenberg,et al. AR-SMT: a microarchitectural approach to fault tolerance in microprocessors , 1999, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352).
[15] Timothy J. Slegel,et al. IBM's S/390 G5 microprocessor design , 1999, IEEE Micro.
[16] E. Normand. Single event upset at ground level , 1996 .
[17] T. Calin,et al. Upset hardened memory design for submicron CMOS technology , 1996 .
[18] T. Sugii,et al. Impact of cosmic ray neutron induced soft errors on advanced submicron CMOS circuits , 1996, 1996 Symposium on VLSI Technology. Digest of Technical Papers.
[19] Hiroyuki Sugiyama,et al. A 1.3 GHz fifth generation SPARC64 microprocessor , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[20] A. Knies,et al. The impact of if-conversion and branch prediction on program execution on the Intel® Itanium™ processor , 2001, MICRO.
[21] Eric Rotenberg,et al. Exploiting Large Ineffectual Instruction Sequences , 1999 .
[22] James L. Walsh,et al. IBM experiments in soft fails in computer electronics (1978-1994) , 1996, IBM J. Res. Dev..
[23] James F. Ziegler,et al. Terrestrial cosmic rays , 1996, IBM J. Res. Dev..
[24] Edward D. Lazowska,et al. Quantitative System Performance , 1985, Int. CMG Conference.
[25] Edward D. Lazowska,et al. Quantitative system performance - computer system analysis using queueing network models , 1983, Int. CMG Conference.