Exploiting Heterogeneity for Aging-Aware Load Balancing in Mobile Platforms
暂无分享,去创建一个
[1] Luca Benini,et al. Aging-Aware Energy-Efficient Workload Allocation for Mobile Multimedia Platforms , 2013, IEEE Transactions on Parallel and Distributed Systems.
[2] Woongki Baek,et al. HARS: A heterogeneity-aware runtime system for self-adaptive multithreaded applications , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[3] Mohammad Ebrahimi,et al. SENSIBle: A Highly Scalable SENsor DeSIgn for Path-Based Age Monitoring in FPGAs , 2017, IEEE Transactions on Computers.
[4] Bharadwaj Veeravalli,et al. Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[5] Ümit Y. Ogras,et al. Predictive dynamic thermal and power management for heterogeneous mobile platforms , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[6] Pedro Tomás,et al. A Framework for Application-Guided Task Management on Heterogeneous Embedded Systems , 2015, ACM Trans. Archit. Code Optim..
[7] Josep Torrellas,et al. Facelift: Hiding and slowing down aging in multicores , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[8] Muhammad Shafique,et al. dTune: Leveraging reliable code generation for adaptive dependability tuning under process variation and aging-induced effects , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[9] Elaheh Bozorgzadeh,et al. Path selection and sensor insertion flow for age monitoring in FPGAs , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[10] Nikil D. Dutt,et al. Run-DMC: Runtime dynamic heterogeneous multicore performance and power estimation for energy efficiency , 2015, 2015 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[11] Elaheh Bozorgzadeh,et al. Aging-aware high-level physical planning for reconfigurable systems , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
[12] Jung Ho Ahn,et al. The McPAT Framework for Multicore and Manycore Architectures: Simultaneously Modeling Power, Area, and Timing , 2013, TACO.
[13] Luca Benini,et al. Dynamic variability management in mobile multicore processors under lifetime constraints , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).
[14] Tong Li,et al. LinSched: The Linux Scheduler Simulator , 2008, ISCA PDCCS.
[15] V. Huard,et al. Hot-Carrier acceleration factors for low power management in DC-AC stressed 40nm NMOS node at high temperature , 2009, 2009 IEEE International Reliability Physics Symposium.
[16] Bharadwaj Veeravalli,et al. Design and evaluation of reliability-oriented task re-mapping in MPSoCs using time-series analysis of intermittent faults , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[17] Nikil D. Dutt,et al. SmartBalance: A sensing-driven linux load balancer for energy efficiency of heterogeneous MPSoCs , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[18] Edward G. Coffman,et al. Computer and job-shop scheduling theory , 1976 .
[19] Muhammad Shafique,et al. Hayat: Harnessing Dark Silicon and variability for aging deceleration and balancing , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[20] Luca Benini,et al. A Linux-governor based Dynamic Reliability Manager for android mobile devices , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[21] Diana Marculescu,et al. Procrustes1: Power Constrained Performance Improvement Using Extended Maximize-Then-Swap Algorithm , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[22] Carole-Jean Wu,et al. A study of mobile device utilization , 2015, 2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[23] Mark Mohammad Tehranipoor,et al. Representative Critical Reliability Paths for low-cost and accurate on-chip aging evaluation , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[24] Anuj Pathania,et al. Price theory based power management for heterogeneous multi-cores , 2014, ASPLOS.
[25] Heba Khdr,et al. New trends in dark silicon , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[26] D. Kwong,et al. Dynamic NBTI of PMOS transistors and its impact on device lifetime , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..
[27] Mattan Erez,et al. NBTI-aware DVFS: A new approach to saving energy and increasing processor lifetime , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
[28] Kevin Skadron,et al. Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.
[29] Mehdi Baradaran Tahoori,et al. ExtraTime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level , 2012, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2012).
[30] LiSheng,et al. The McPAT Framework for Multicore and Manycore Architectures , 2013 .
[31] David S. Johnson,et al. Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .
[32] Sani R. Nassif,et al. High Performance CMOS Variability in the 65nm Regime and Beyond , 2006, 2007 IEEE International Electron Devices Meeting.
[33] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[34] Henry Hoffmann,et al. Application heartbeats: a generic interface for specifying program performance and goals in autonomous computing environments , 2010, ICAC '10.
[35] Xiaofei Wang,et al. On-chip reliability monitors for measuring circuit degradation , 2010, Microelectron. Reliab..
[36] Yu Cao,et al. Predictive Modeling of the NBTI Effect for Reliable Design , 2006, IEEE Custom Integrated Circuits Conference 2006.
[37] David Blaauw,et al. Process variation and temperature-aware reliability management , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[38] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.