Dependable Multicore Architectures at Nanoscale
暂无分享,去创建一个
Marco Ottavi | Salvatore Pontarelli | Dimitris Gizopoulos | D. Gizopoulos | S. Pontarelli | M. Ottavi
[1] C. Auth,et al. Bias temperature instability variation on SiON/Poly, HK/MG and trigate architectures , 2014, 2014 IEEE International Reliability Physics Symposium.
[2] Gate stack process optimization for TDDB improvement in 28nm high-k/metal gate nMOSFETs , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).
[3] Philippe Roche,et al. Technology downscaling worsening radiation effects in bulk: SOI to the rescue , 2013, 2013 IEEE International Electron Devices Meeting.
[4] S. Wang,et al. Effect of Nitrogen on the Frequency Dependence of Dynamic NBTI-Induced Threshold-Voltage Shift of the Ultrathin Oxynitride Gate P-MOSFET , 2008, IEEE Electron Device Letters.
[5] You-Seung Jin,et al. Negative bias temperature instability in triple gate transistors , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.
[6] A. Rahman,et al. Intrinsic transistor reliability improvements from 22nm tri-gate technology , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).
[7] Sangwoo Pae,et al. New observations on hot carrier induced dynamic variation in nano-scaled SiON/poly, HK/MG and FinFET devices based on on-the-fly HCI technique: The role of single trap induced degradation , 2014, 2014 IEEE International Electron Devices Meeting.
[8] G. Gasiot,et al. Impacts of front-end and middle-end process modifications on terrestrial soft error rate , 2005, IEEE Transactions on Device and Materials Reliability.
[9] Soonyoung Lee,et al. Radiation-induced soft error rate analyses for 14 nm FinFET SRAM devices , 2015, 2015 IEEE International Reliability Physics Symposium.
[10] Russell Tessier,et al. Thermal-aware voltage droop compensation for multi-core architectures , 2010, GLSVLSI '10.
[11] Ahmed Awad,et al. A fast process variation and pattern fidelity aware mask optimization algorithm , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[12] Antonio Rubio,et al. VCTA: A Via-Configurable Transistor Array regular fabric , 2010, 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip.
[13] Jong Ho Lee,et al. Hot carrier-induced degradation in bulk FinFETs , 2005, IEEE Electron Device Letters.
[14] M. Koyanagi,et al. Optimum design of n+-n-double-diffused drain MOSFET to reduce hot-carrier emission , 1985, IEEE Transactions on Electron Devices.
[15] T. Grasser,et al. SiGe Channel Technology: Superior Reliability Toward Ultra-Thin EOT Devices—Part II: Time-Dependent Variability in Nanoscaled Devices and Other Reliability Issues , 2013, IEEE Transactions on Electron Devices.
[16] T. Nigam,et al. Pulse-Stress Dependence of NBTI Degradation and Its Impact on Circuits , 2008, IEEE Transactions on Device and Materials Reliability.
[17] Robert C. Aitken,et al. TIMBER: Time borrowing and error relaying for online timing error resilience , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[18] Philippe Roche,et al. Alpha soft error rate of FDSOI 28 nm SRAMs: Experimental testing and simulation analysis , 2015, 2015 IEEE International Reliability Physics Symposium.
[19] Chong Gun Yu,et al. A Guideline for the Optimum Fin Width Considering Hot-Carrier and NBTI Degradation in MuGFETs , 2011, IEEE Electron Device Letters.
[20] James H. Stathis,et al. The negative bias temperature instability in MOS devices: A review , 2006, Microelectron. Reliab..
[21] D. Badami,et al. A robust reliability methodology for accurately predicting Bias Temperature Instability induced circuit performance degradation in HKMG CMOS , 2011, 2011 International Reliability Physics Symposium.
[22] Adrian Evans,et al. Clustering techniques and statistical fault injection for selective mitigation of SEUs in flip-flops , 2013, International Symposium on Quality Electronic Design (ISQED).
[23] Chi-Woo Lee,et al. Nanowire transistors without junctions. , 2010, Nature nanotechnology.
[24] P.K. Ko,et al. Random telegraph noise of deep-submicrometer MOSFETs , 1990, IEEE Electron Device Letters.
[25] Krishna C. Saraswat,et al. Hot-carrier-degradation characteristics for fluorine-incorporated nMOSFET's , 1990 .
[26] Jochen A. G. Jess,et al. Gate sizing in MOS digital circuits with linear programming , 1990, Proceedings of the European Design Automation Conference, 1990., EDAC..
[27] Tibor Grasser,et al. Depth localization of positive charge trapped in silicon oxynitride field effect transistors after positive and negative gate bias temperature stress , 2011 .
[28] Babak Falsafi,et al. Reunion: Complexity-Effective Multicore Redundancy , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[29] Naoto Horiguchi,et al. Impact of the Substrate Orientation on CHC Reliability in n-FinFETs—Separation of the Various Contributions , 2014, IEEE Transactions on Device and Materials Reliability.
[30] Swarup Bhunia,et al. On-die CMOS voltage droop detection and dynamiccompensation , 2008, GLSVLSI '08.
[31] Y. Mitani. Influence of nitrogen in ultra-thin SiON on negative bias temperature instability under AC stress , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..
[32] Anabela Veloso,et al. Significant reduction of Positive Bias Temperature Instability in high-k/metal-gate nFETs by incorporation of rare earth metals , 2009 .
[33] M. Nelhiebel,et al. The Paradigm Shift in Understanding the Bias Temperature Instability: From Reaction–Diffusion to Switching Oxide Traps , 2011, IEEE Transactions on Electron Devices.
[34] E. Vandamme,et al. Impact of MOSFET oxide breakdown on digital circuit operation and reliability , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
[35] T. Rahal-Arabi,et al. Enhancing microprocessor immunity to power supply noise with clock-data compensation , 2006, IEEE Journal of Solid-State Circuits.
[36] Kevin G. Stawiasz,et al. SOI FinFET soft error upset susceptibility and analysis , 2015, 2015 IEEE International Reliability Physics Symposium.
[37] B. L. Bhuva,et al. An SEU-Tolerant DICE Latch Design With Feedback Transistors , 2015, IEEE Transactions on Nuclear Science.
[38] Michael Orshansky,et al. A new statistical optimization algorithm for gate sizing , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[39] Meeta Sharma Gupta,et al. Understanding Voltage Variations in Chip Multiprocessors using a Distributed Power-Delivery Network , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[40] M.A. Alam,et al. Statistically independent soft breakdowns redefine oxide reliability specifications , 2002, Digest. International Electron Devices Meeting,.
[41] M. Levenson,et al. Improving resolution in photolithography with a phase-shifting mask , 1982, IEEE Transactions on Electron Devices.
[42] K. Hess,et al. Giant isotope effect in hot electron degradation of metal oxide silicon devices , 1998 .
[43] Philippe Roussel,et al. Strong correlation between dielectric reliability and charge trapping in SiO2/Al2O3 gate stacks with TiN electrodes , 2002 .
[44] Jyothi Velamala,et al. Soft Error Rate Improvements in 14-nm Technology Featuring Second-Generation 3D Tri-Gate Transistors , 2015, IEEE Transactions on Nuclear Science.
[45] Manoj Sachdev,et al. Variation-Aware Adaptive Voltage Scaling System , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[46] S. C. Chen,et al. The physical mechanism investigation of AC TDDB behavior in advanced gate stack , 2014, 2014 IEEE International Reliability Physics Symposium.
[47] J. S. Kauppila,et al. Utilizing device stacking for area efficient hardened SOI flip-flop designs , 2014, 2014 IEEE International Reliability Physics Symposium.
[48] N. Ranganathan,et al. Gate sizing and buffer insertion using economic models for power optimization , 2004, 17th International Conference on VLSI Design. Proceedings..
[49] B. Kaczer,et al. NBTI in Replacement Metal Gate SiGe core FinFETs: Impact of Ge concentration, fin width, fin rotation and interface passivation by high pressure anneals , 2016, 2016 IEEE International Reliability Physics Symposium (IRPS).
[50] Larry D. Smith,et al. Power distribution system design methodology and capacitor selection for modern CMOS technology , 1999 .
[51] S. De Gendt,et al. Achievements and challenges for the electrical performance of MOSFETs with high-k gate dielectrics , 2004, Proceedings of the 11th International Symposium on the Physical and Failure Analysis of Integrated Circuits. IPFA 2004 (IEEE Cat. No.04TH8743).
[52] John Robertson,et al. Passivation of oxygen vacancy states in HfO2 by nitrogen , 2006 .
[53] Peter Baumgartner,et al. New methodology for on-chip RF reliability assessment , 2016, 2016 IEEE International Reliability Physics Symposium (IRPS).
[54] B. L. Bhuva,et al. Single-Event Transient Sensitivity Evaluation of Clock Networks at 28-nm CMOS Technology , 2016, IEEE Transactions on Nuclear Science.
[55] Li Chen,et al. Supply Voltage Dependence of Heavy Ion Induced SEEs on 65 nm CMOS Bulk SRAMs , 2015, IEEE Transactions on Nuclear Science.
[56] Soumya Narang,et al. A process corner detection methodology for resilience towards process variations using adaptive body bias , 2015, 2015 International Conference on Circuits, Power and Computing Technologies [ICCPCT-2015].
[57] T. Grasser,et al. Impact of hydrogen on recoverable and permanent damage following negative bias temperature stress , 2010, 2010 IEEE International Reliability Physics Symposium.
[58] Karl Hess,et al. Ultrahigh vacuum–scanning tunneling microscopy nanofabrication and hydrogen/deuterium desorption from silicon surfaces: implications for complementary metal oxide semiconductor technology , 1998 .
[59] R. Wong,et al. Single-Event Performance and Layout Optimization of Flip-Flops in a 28-nm Bulk Technology , 2013, IEEE Transactions on Nuclear Science.
[60] R. Degraeve,et al. 6Å EOT Si0.45Ge0.55 pMOSFET with optimized reliability (VDD=1V): Meeting the NBTI lifetime target at ultra-thin EOT , 2010, 2010 International Electron Devices Meeting.
[61] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[62] B. Kaczer,et al. Degradation of time dependent variability due to interface state generation , 2013, 2013 Symposium on VLSI Technology.
[63] Cyril Bottoni,et al. SER/SEL performances of SRAMs in UTBB FDSOI28 and comparisons with PDSOI and BULK counterparts , 2014, 2014 IEEE International Reliability Physics Symposium.
[64] R. Degraeve,et al. Correlation Between the $V_{\rm th}$ Adjustment of nMOSFETs With HfSiO Gate Oxide and the Energy Profile of the Bulk Trap Density , 2010, IEEE Electron Device Letters.
[65] C. Auth,et al. 45nm High-k + metal gate strain-enhanced transistors , 2008, 2008 Symposium on VLSI Technology.
[66] J. Sune,et al. Successive oxide breakdown statistics: correlation effects, reliability methodologies, and their limits , 2004, IEEE Transactions on Electron Devices.
[67] A. Thean,et al. On and off state hot carrier reliability in junctionless high-K MG gate-all-around nanowires , 2015, 2015 IEEE International Electron Devices Meeting (IEDM).
[68] W. Timothy Holman,et al. A Comparison of the SEU Response of Planar and FinFET D Flip-Flops at Advanced Technology Nodes , 2016, IEEE Transactions on Nuclear Science.
[69] E. Simoen,et al. Gate-all-around NWFETs vs. triple-gate FinFETs: Junctionless vs. extensionless and conventional junction devices with controlled EWF modulation for multi-VT CMOS , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).
[70] Naoto Horiguchi,et al. Origins and implications of increased channel hot carrier variability in nFinFETs , 2015, 2015 IEEE International Reliability Physics Symposium.
[71] G. Groeseneken,et al. Maximizing reliable performance of advanced CMOS circuits—A case study , 2014, 2014 IEEE International Reliability Physics Symposium.
[72] Tibor Grasser,et al. A predictive physical model for hot-carrier degradation in ultra-scaled MOSFETs , 2014, 2014 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).
[73] Doris Schmitt-Landsiedel,et al. A variation-aware adaptive voltage scaling technique based on in-situ delay monitoring , 2011, 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems.
[74] G. Groeseneken,et al. TDDB Reliability Prediction Based on the Statistical Analysis of Hard Breakdown Including Multiple Soft Breakdown and Wear-out , 2007, 2007 IEEE International Electron Devices Meeting.
[75] Keith A. Bowman,et al. A 22 nm All-Digital Dynamically Adaptive Clock Distribution for Supply Voltage Droop Tolerance , 2013, IEEE Journal of Solid-State Circuits.
[76] Bo N. J. Persson,et al. Local bond breaking via STM-induced excitations: the role of temperature , 1997 .
[77] Nagarajan Kandasamy,et al. Transparent recovery from intermittent faults in time-triggered distributed systems , 2003 .
[78] Yu-Hsuan Su,et al. Fast Lithographic Mask Optimization Considering Process Variation , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[79] A. Kerber,et al. PBTI under dynamic stress: From a single defect point of view , 2011, 2011 International Reliability Physics Symposium.
[80] B. Kaczer,et al. Characterization of self-heating in high-mobility Ge FinFET pMOS devices , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).
[81] Guido Groeseneken,et al. A consistent model for the thickness dependence of intrinsic breakdown in ultra-thin oxides , 1995, Proceedings of International Electron Devices Meeting.
[82] Tawfik Rahal-Arabi. Design & validation of the Pentium® III and Pentium® 4 processors power delivery , 2002, VLSIC 2002.
[83] S. Jahinuzzaman,et al. A Soft Error Tolerant 10T SRAM Bit-Cell With Differential Read Capability , 2009, IEEE Transactions on Nuclear Science.
[84] M. Rafik,et al. Physical understanding of low frequency degradation of NMOS TDDB in High-k metal gate stack-based technology. Implication on lifetime assessment , 2015, 2015 IEEE International Reliability Physics Symposium.
[85] Kaushik Roy,et al. CRISTA: A New Paradigm for Low-Power, Variation-Tolerant, and Adaptive Circuit Synthesis Using Critical Path Isolation , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[86] R. Allmon,et al. On the radiation-induced soft error performance of hardened sequential elements in advanced bulk CMOS technologies , 2010, 2010 IEEE International Reliability Physics Symposium.
[87] M. A. Pourghaderi,et al. RTN and PBTI-induced time-dependent variability of replacement metal-gate high-k InGaAs FinFETs , 2014, 2014 IEEE International Electron Devices Meeting.
[88] gt hutilisateurs,et al. IEEE International Electron Devices Meeting (IEDM) , 2016 .
[89] S. Natarajan,et al. Self-heat reliability considerations on Intel's 22nm Tri-Gate technology , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).
[90] Keith A. Bowman,et al. Adaptive and Resilient Circuits for Dynamic Variation Tolerance , 2013, IEEE Design & Test.
[91] X. Federspiel,et al. Energy-driven Hot-Carrier model in advanced nodes , 2014, 2014 IEEE International Reliability Physics Symposium.
[92] David Blaauw,et al. A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation , 2011, IEEE Journal of Solid-State Circuits.
[93] David Blaauw,et al. Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation , 2003, MICRO.
[94] W. B. Jackson,et al. Comment on ‘‘Reduction of hot electron degradation in metal oxide semiconductor transistors by deuterium processing’’ [Appl. Phys. Lett. 68, 2526 (1996)] , 1996 .
[95] Dan Alexandrescu,et al. Study of Neutron Soft Error Rate (SER) Sensitivity: Investigation of Upset Mechanisms by Comparative Simulation of FinFET and Planar MOSFET SRAMs , 2015, IEEE Transactions on Nuclear Science.
[96] Chenming Hu,et al. Hot-Electron-Induced MOSFET Degradation - Model, Monitor, and Improvement , 1985, IEEE Journal of Solid-State Circuits.
[97] Philippe Roche,et al. Muons and thermal neutrons SEU characterization of 28nm UTBB FD-SOI and Bulk eSRAMs , 2015, 2015 IEEE International Reliability Physics Symposium.
[98] B. L. Bhuva,et al. Soft error rate comparison of various hardened and non-hardened flip-flops at 28-nm node , 2014, 2014 IEEE International Reliability Physics Symposium.
[99] E. Augendre,et al. Experimental verification of SRAM cell functionality after hard and soft gate oxide breakdowns , 2003, ESSDERC '03. 33rd Conference on European Solid-State Device Research, 2003..
[100] D.B.M. Klaassen,et al. Device modeling of statistical dopant fluctuations in MOS transistors , 1997, SISPAD '97. 1997 International Conference on Simulation of Semiconductor Processes and Devices. Technical Digest.
[101] Hiran Tennakoon,et al. Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step , 2002, ICCAD 2002.
[102] H. Mertens,et al. Understanding the suppressed charge trapping in relaxed- and strained-Ge/SiO2/HfO2 pMOSFETs and implications for the screening of alternative high-mobility substrate/dielectric CMOS gate stacks , 2013, 2013 IEEE International Electron Devices Meeting.
[103] T. Grasser,et al. Gate-sided hydrogen release as the origin of "permanent" NBTI degradation: From single defects to lifetimes , 2015, 2015 IEEE International Electron Devices Meeting (IEDM).
[104] Keith A. Bowman,et al. Circuit techniques for dynamic variation tolerance , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[105] Aaron Thean,et al. Superior Reliability of Junctionless pFinFETs by Reduced Oxide Electric Field , 2014, IEEE Electron Device Letters.
[106] B. L. Bhuva,et al. Length and fin number dependence of ionizing radiation-induced degradation in bulk FinFETs , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).
[107] Hyunjin Kim,et al. Frequency dependent TDDB behaviors and its reliability qualification in 32nm high-k/metal gate CMOSFETs , 2011, 2011 International Reliability Physics Symposium.
[108] B.L. Bhuva,et al. RHBD techniques for mitigating effects of single-event hits using guard-gates , 2005, IEEE Transactions on Nuclear Science.
[109] Young Hee Kim,et al. Bias-temperature instabilities of polysilicon gate HfO/sub 2/ MOSFETs , 2003 .
[110] Kaushik Roy,et al. Exploring high-speed low-power hybrid arithmetic units at scaled supply and adaptive clock-stretching , 2008, 2008 Asia and South Pacific Design Automation Conference.
[111] Dimitri Linten,et al. The defect-centric perspective of device and circuit reliability—From gate oxide defects to circuits , 2016 .
[112] N. Horiguchi,et al. Study of (correlated) trap sites in SILC, BTI and RTN in SiON and HKMG devices , 2014, Proceedings of the 21th International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA).
[113] R. Allmon,et al. Soft Error Susceptibilities of 22 nm Tri-Gate Devices , 2012, IEEE Transactions on Nuclear Science.
[114] K. Hess,et al. Deuterium post-metal annealing of MOSFET's for improved hot carrier reliability , 1997, IEEE Electron Device Letters.
[115] Tibor Grasser,et al. Understanding and Modeling the Temperature Behavior of Hot-Carrier Degradation in SiON nMOSFETs , 2016, IEEE Electron Device Letters.
[116] Francky Catthoor,et al. Characterization of time-dependent variability using 32k transistor arrays in an advanced HK/MG technology , 2015, 2015 IEEE International Reliability Physics Symposium.
[117] Ken Uchida,et al. Comparison of self-heating effect (SHE) in short-channel bulk and ultra-thin BOX SOI MOSFETs: Impacts of doped well, ambient temperature, and SOI/BOX thicknesses on SHE , 2013, 2013 IEEE International Electron Devices Meeting.
[118] Barry P. Linder,et al. Process optimizations for NBTI/PBTI for future replacement metal gate technologies , 2016, 2016 IEEE International Reliability Physics Symposium (IRPS).
[119] Kun Yuan,et al. Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[120] B. Kaczer,et al. Channel Hot Carrier Degradation and Self-Heating Effects in FinFETs , 2015 .
[121] Hung-Ming Chen,et al. Simultaneous power supply planning and noise avoidance in floorplan design , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[122] A. De Keersgieter,et al. Impact of gate-oxide breakdown of varying hardness on narrow and wide nFET's , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.
[123] David Blaauw,et al. Statistical Timing Analysis: From Basic Principles to State of the Art , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[124] John Robertson,et al. Passivation of oxygen vacancy states and suppression of Fermi pinning in HfO2 by La addition , 2009 .
[125] David Z. Pan,et al. Process variation aware OPC with variational lithography modeling , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[126] Meeta Sharma Gupta,et al. An event-guided approach to reducing voltage noise in processors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[127] Zhi-Quan Luo,et al. Robust gate sizing by geometric programming , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[128] K. Jeppson,et al. Negative bias stress of MOS devices at high electric fields and degradation of MNOS devices , 1977 .
[129] T. Calin,et al. Upset hardened memory design for submicron CMOS technology , 1996 .
[130] Per Larsson-Edefors,et al. Manufacturable nanometer designs using standard cells with regular layout , 2013, International Symposium on Quality Electronic Design (ISQED).