Chip-package interaction: Challenges and solutions to mechanical stability of Back end of Line at 28nm node and beyond for advanced flip chip application

Fhis paper discusses the extensive development work carried out by GLOBALFOUNDRIES to mitigate chip-package interaction (CPI) risks for the silicon Backend of Line (BEOL) during IC package assembly. Particularly, material property data for different ultra low k (ULK) materials, CPI qualification results and key findings made during the technology development are discussed. Newly developed test and modeling methods to expedite technology learning are also described in detail.

[1]  Sidharth,et al.  Investigation of Cu/low-k film delamination in flip chip packages , 2006, 56th Electronic Components and Technology Conference 2006.

[2]  Chirag Shah,et al.  CPI challenges to BEOL at 28nm node and beyond , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[3]  Paul S. Ho,et al.  Packaging effects on reliability of Cu/low-k interconnects , 2003 .