Physical Resource Matching Under Power Asymmetry

Manufacturing related variations are predicted to have a significant impact on power consumption for near future technology generations. Due to both die-to-die and within-die parameter variations, the power consumption of identically designed hardware resources can vary randomly on a per instance basis. This introduces fabrication induced asymmetry, which can undermine basic assumptions about power/performance balances in a design. Specifically, architects expect that superscalar pipeline resources and cores in a homogenous CMP design are truly homogenous when fabricated on a chip. In this paper, we describe implications that fabrication power asymmetry have on a CMP design with respect to the allocation and utilization of hardware resources and the mapping between applications and physical cores. We introduce hardware/software management techniques that can overcome power asymmetry by considering the dissimilar power profiles of physical resources under parameter variation.

[1]  James E. Smith,et al.  Managing multi-configuration hardware via dynamic working set analysis , 2002, ISCA.

[2]  David Blaauw,et al.  Statistical analysis of subthreshold leakage current for VLSI circuits , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[4]  Brad Calder,et al.  Automatically characterizing large scale program behavior , 2002, ASPLOS X.

[5]  Tejas Karkhanis,et al.  Energy efficient co-adaptive instruction fetch and issue , 2003, ISCA '03.

[6]  Margaret Martonosi,et al.  Cache decay: exploiting generational behavior to reduce cache leakage power , 2001, ISCA 2001.

[7]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[8]  Trevor N. Mudge,et al.  Total power-optimal pipelining and parallel processing under process variations in nanometer technology , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[9]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[10]  Nathan L. Binkert,et al.  Network-Oriented Full-System Simulation using M5 , 2003 .

[11]  Emil Talpes,et al.  Energy awareness and uncertainty in microarchitecture-level design , 2005, IEEE Micro.

[12]  Kevin Skadron,et al.  Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[13]  Kevin Skadron,et al.  Impact of Parameter Variations on Multi-Core Chips , 2006 .

[14]  Kevin Skadron,et al.  Toward an Architectural Treatment of Parameter Variations , 2005 .

[15]  Norman P. Jouppi,et al.  Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[16]  Pradip Bose,et al.  Microarchitectural techniques for power gating of execution units , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[17]  Sani R. Nassif Modeling and forecasting of manufacturing variations , 2000, 2000 5th International Workshop on Statistical Metrology (Cat.No.00TH8489.

[18]  Kaushik Roy,et al.  An integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance I-caches , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[19]  Norman P. Jouppi,et al.  Single-ISA heterogeneous multi-core architectures for multithreaded workload performance , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

[20]  Eby G. Friedman,et al.  Managing static leakage energy in microprocessor functional units , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

[21]  Margaret Martonosi,et al.  Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[22]  Ke Meng,et al.  Process Variation Aware Cache Leakage Management , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

[23]  David H. Albonesi,et al.  Selective cache ways: on-demand cache resource allocation , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.

[24]  James E. Smith,et al.  Timing reconfigurable microarchitectures for power efficiency , 2004, 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..

[25]  J. Munkres ALGORITHMS FOR THE ASSIGNMENT AND TRANSIORTATION tROBLEMS* , 1957 .

[26]  David Blaauw,et al.  Drowsy caches: simple techniques for reducing leakage power , 2002, ISCA.

[27]  Costas J. Spanos,et al.  Modeling within-die spatial correlation effects for process-design co-optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).

[28]  Trevor N. Mudge,et al.  Power: A First-Class Architectural Design Constraint , 2001, Computer.

[29]  Sani R. Nassif,et al.  Power variability and its impact on design , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

[30]  Gurindar S. Sohi,et al.  A static power model for architects , 2000, MICRO 33.

[31]  H. Kuhn The Hungarian method for the assignment problem , 1955 .