Prediction and reduction of routing congestion

Routing congestion is a critical issue in deep submicron design technology and it becomes one of the most challenging problems in today's design flow. This paper presents a true probabilistic congestion prediction method based on router's intelligence to be used in the placement stage of physical design flow. Experimental results show that for IBM-PLACE benchmarks, our prediction algorithm estimates the congestion more accurately than a recent method by about 19%. Furthermore, a new congestion reduction algorithm is presented which is based on contour plotting. Our experiments show that our algorithm reduces congestion by about 28% on average. In addition, comparing our results with a recent approach shows that our reduction technique reduces congestion more by about 13%.

[1]  Majid Sarrafzadeh,et al.  On the behavior of congestion minimization during placement , 1999, ISPD '99.

[2]  Maogang Wang,et al.  Modeling and minimization of routing congestion , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

[3]  Zhuoyuan Li,et al.  Congestion driven incremental placement algorithm for standard cell layout , 2003, ASP-DAC '03.

[4]  Andrew B. Kahng,et al.  Accurate pseudo-constructive wirelength and congestion estimation , 2003, SLIP '03.

[5]  Majid Sarrafzadeh,et al.  Congestion reduction during placement with provably good approximation bound , 2003, TODE.

[6]  Raia Hadsell,et al.  Improved global routing through congestion estimation , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[7]  Majid Sarrafzadeh,et al.  Multi-center congestion estimation and minimization during placement , 2000, ISPD '00.

[8]  Majid Sarrafzadeh,et al.  Congestion estimation during top-down placement , 2001, ISPD '01.

[9]  Ulrich Brenner,et al.  An effective congestion driven placement framework , 2002, ISPD '02.

[10]  Shankar Krishnamoorthy,et al.  Estimating routing congestion using probabilistic analysis , 2001, ISPD '01.

[11]  Chih-Liang Eric Cheng RISA: accurate and efficient placement routability modeling , 1994, ICCAD.

[12]  Thomas H. Cormen,et al.  Introduction to algorithms [2nd ed.] , 2001 .

[13]  Clifford Stein,et al.  Introduction to Algorithms, 2nd edition. , 2001 .

[14]  Richard B. Brown,et al.  Congestion driven quadratic placement , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[15]  Majid Sarrafzadeh,et al.  Congestion minimization during placement , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  Majid Sarrafzadeh,et al.  Congestion reduction during placement based on integer programming , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[17]  Patrick Groeneveld,et al.  Probabilistic congestion prediction , 2004, ISPD '04.

[18]  Yici Cai,et al.  A new congestion-driven placement algorithm based on cell inflation , 2001, ASP-DAC '01.