Technology-Assisted Computing-In-Memory Design for Matrix Multiplication Workloads

Recent advances in emerging technologies such as monolithic 3D Integration (M3D-IC) and emerging non-volatile memory (eNVM) have enabled to embed logic operations in memory. This alleviates the "memory wall" challenges stemming from the time and power expended on migrating data in conventional Von Neumann computing paradigms. We propose a M3D SRAM dot-product engine for compute in-SRAM support used in applications such as matrix multiplication and artificial neural networks. In addition, we propose a novel computing in RRAM-based memory architecture to efficiently solve the computation intensity of sparse dot products. Specifically, the index assessment of sparse matrix-vector multiplication used in support vector machines (SVM). At maximum throughput, our proposed RRAM architecture achieves 11.3× speed up when compared against a near-memory accelerator.

[1]  Narayanan Vijaykrishnan,et al.  Harnessing Emerging Technology for Compute-in-Memory Support , 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[2]  Eriko Nurvitadhi,et al.  A sparse matrix vector multiply accelerator for support vector machine , 2015, 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

[3]  Narayanan Vijaykrishnan,et al.  Monolithic-3D Integration Augmented Design Techniques for Computing in SRAMs , 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).

[4]  Narayanan Vijaykrishnan,et al.  A Monolithic-3D SRAM Design with Enhanced Robustness and In-Memory Computation Support , 2018, ISLPED.

[5]  Guo-Wei Huang,et al.  Ultra-Low Power 3D NC-FinFET-based Monolithic 3D+ -IC with Computing-in-Memory for Intelligent IoT Devices , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[6]  Ran Ginosar,et al.  Sparse Matrix Multiplication on CAM Based Accelerator , 2017, ArXiv.

[7]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Meng-Fan Chang,et al.  Compact 3-D-SRAM Memory With Concurrent Row and Column Data Access Capability Using Sequential Monolithic 3-D Integration , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  Catherine Graves,et al.  Dot-product engine for neuromorphic computing: Programming 1T1M crossbar to accelerate matrix-vector multiplication , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[10]  Meng-Fan Chang,et al.  ROBIN: Monolithic-3D SRAM for Enhanced Robustness with In-Memory Computation Support , 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

[11]  Tao Zhang,et al.  PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

[12]  Saibal Mukhopadhyay,et al.  ReRAM-Based Processing-in-Memory Architecture for Recurrent Neural Network Acceleration , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Hsiang-Lan Lung,et al.  A Novel Voltage-Accumulation Vector-Matrix Multiplication Architecture Using Resistor-shunted Floating Gate Flash Memory Device for Low-power and High-density Neural Network Applications , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).

[14]  Jing Li,et al.  IMEC: A Fully Morphable In-Memory Computing Fabric Enabled by Resistive Crossbar , 2017, IEEE Computer Architecture Letters.

[15]  Meng-Fan Chang,et al.  An offset-tolerant current-sampling-based sense amplifier for Sub-100nA-cell-current nonvolatile memory , 2011, 2011 IEEE International Solid-State Circuits Conference.

[16]  Wenqiang Zhang,et al.  Novel In-Memory Matrix-Matrix Multiplication with Resistive Cross-Point Arrays , 2018, 2018 IEEE Symposium on VLSI Technology.

[17]  Guo-Wei Huang,et al.  TSV-free FinFET-based Monolithic 3D+-IC with computing-in-memory SRAM cell for intelligent IoT devices , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

[18]  Narayanan Vijaykrishnan,et al.  Improving FPGA Design with Monolithic 3D Integration Using High Dense Inter-Stack Via , 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).