A Scalable In-Memory Logic Synthesis Approach Using Memristor Crossbar

Because of their resistive switching properties and ease of controlling the resistive states, memristors have been proposed in nonvolatile storage as well as logic design applications. Memristors can be fabricated in a crossbar and suitable voltages applied to the row and column nanowires to control their states. This makes it possible to move toward new non-von Neumann-type architectures, usually referred to as in-memory computing, where logic operations can be performed directly on the storage fabric. In this paper, a scalable design flow for in-memory computing has been proposed, where a given multioutput logic function is synthesized as a netlist of NOT/NOR gates and then mapped to the crossbar using the Memristor-Aided loGIC (MAGIC) design style. The memristors corresponding to the primary inputs are initialized a priori. Subsequently, the required gate operations are performed by applying suitable row and column voltages in sequence. Two alternate mapping schemes have been analyzed. The switching characteristics of MAGIC NOR gates have been evaluated using circuit simulation under the Cadence Virtuoso environment. Experimental evaluation on ISCAS’85 benchmarks reports the average improvements of 27.7%, 34.6%, and 26.2%, respectively over a recently published work with respect to the number of memristors, number of cycles, and total energy dissipation, respectively. It may be noted that the energy consumption of the gates used in the proposed approach (NOT and NOR) is significantly higher than that using CMOS technology.

[1]  F. Argall Switching phenomena in titanium oxide thin films , 1968 .

[2]  Rajiv V. Joshi,et al.  An Energy-Efficient Digital ReRAM-Crossbar-Based CNN With Bitwise Parallelism , 2017, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.

[3]  Kamalika Datta,et al.  Area efficient implementation of ripple carry adder using memristor crossbar arrays , 2016, 2016 11th International Design & Test Symposium (IDT).

[4]  D. J. Wouters,et al.  3-bit Resistive RAM Write-Read Scheme Based on Complementary Switching Mechanism , 2017, IEEE Electron Device Letters.

[5]  Eitan Yaakobi,et al.  Information-Theoretic Sneak-Path Mitigation in Memristor Crossbar Arrays , 2016, IEEE Transactions on Information Theory.

[6]  Alex Pappachen James,et al.  Logic Family Power Dissipation (μw) , 2022 .

[7]  Ravi Nair,et al.  Evolution of Memory Architecture , 2015, Proceedings of the IEEE.

[8]  Meng-Fan Chang,et al.  A ReRAM-Based Nonvolatile Flip-Flop With Self-Write-Termination Scheme for Frequent-OFF Fast-Wake-Up Nonvolatile Processors , 2017, IEEE Journal of Solid-State Circuits.

[9]  Gregory S. Snider,et al.  ‘Memristive’ switches enable ‘stateful’ logic operations via material implication , 2010, Nature.

[10]  Meng-Fan Chang,et al.  A 3T1R Nonvolatile TCAM Using MLC ReRAM for Frequent-Off Instant-On Filters in IoT and Big-Data Processing , 2017, IEEE Journal of Solid-State Circuits.

[11]  Baker Mohammad,et al.  Robust Hybrid Memristor-CMOS Memory: Modeling and Design , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  L. Chua Memristor-The missing circuit element , 1971 .

[13]  Eby G. Friedman,et al.  VTEAM – A General Model for Voltage Controlled Memristors , 2014 .

[14]  Jeyavijayan Rajendran,et al.  An Energy-Efficient Memristive Threshold Logic Circuit , 2012, IEEE Transactions on Computers.

[15]  S. Simon Wong,et al.  Compact One-Transistor-N-RRAM Array Architecture for Advanced CMOS Technology , 2015, IEEE Journal of Solid-State Circuits.

[16]  Peng Li,et al.  Dynamical Properties and Design Analysis for Nonvolatile Memristor Memories , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

[17]  Shahar Kvatinsky,et al.  Memory Processing Unit for in-memory processing , 2016, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

[18]  Kamalika Datta,et al.  BDD based synthesis of Boolean functions using memristors , 2014, 2014 9th International Design and Test Symposium (IDT).

[19]  Meng-Fan Chang,et al.  A 65-nm ReRAM-Enabled Nonvolatile Processor With Time-Space Domain Adaption and Self-Write-Termination Achieving > 4× Faster Clock Frequency and > 6× Higher Restore Speed , 2017, IEEE J. Solid State Circuits.

[20]  Uri C. Weiser,et al.  Memristor-Based Material Implication (IMPLY) Logic: Design Principles and Methodologies , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[21]  Xuejun Yang,et al.  Performing Stateful Logic on Memristor Memory , 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

[22]  Anne Siemon,et al.  A Complementary Resistive Switch-Based Crossbar Array Adder , 2015, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[23]  Nishil Talati,et al.  Logic Design Within Memristive Memories Using Memristor-Aided loGIC (MAGIC) , 2016, IEEE Transactions on Nanotechnology.

[24]  S. Kvatinsky,et al.  MRL — Memristor Ratioed Logic , 2012, 2012 13th International Workshop on Cellular Nanoscale Networks and their Applications.

[25]  Uri C. Weiser,et al.  MAGIC—Memristor-Aided Logic , 2014, IEEE Transactions on Circuits and Systems II: Express Briefs.