Energy-efficient soft error-tolerant digital signal processing

In this paper, we present energy-efficient soft error (SE)-tolerant techniques for digital signal processing (DSP) systems. The proposed technique, referred to as algorithmic soft error-tolerance (ASET), employs an low-complexity estimator of a main DSP block to guarantee reliability in presence of soft errors either in the MDSP or the estimator. For FIR filtering, it is shown that the proposed technique provides robustness to soft error rates of up to P/sub er/=10/sup -2/ in single-event upset (SEU). It is also shown that the proposed techniques provide 40%/spl sim/61% savings in power dissipation over that achieved via triple modula redundancy (TMR) when the desired signal-to-noise ratio SNR/sub des/=25/spl sim/35 dB.

[1]  Naresh R. Shanbhag,et al.  Soft digital signal processing , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[2]  Massoud Pedram,et al.  Low power design methodologies , 1996 .

[3]  S. Hareland,et al.  Methods for reducing soft errors in deep submicron integrated circuits , 1998, 1998 5th International Conference on Solid-State and Integrated Circuit Technology. Proceedings (Cat. No.98EX105).

[4]  Athanasios Papoulis,et al.  Probability, Random Variables and Stochastic Processes , 1965 .

[5]  Yiorgos Tsiatouhas,et al.  Concurrent detection of soft errors based on current monitoring , 2001, Proceedings Seventh International On-Line Testing Workshop.

[6]  Hagbae Kim,et al.  A Time Redundancy Approach to TMR Failures Using Fault-State Likelihoods , 1994, IEEE Trans. Computers.

[7]  Naresh R. Shanbhag,et al.  Reliable low-power digital signal processing via reduced precision redundancy , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  R. Hegde,et al.  A voltage overscaled low-power digital filter IC , 2004, IEEE Journal of Solid-State Circuits.

[9]  P. Hazucha,et al.  Impact of CMOS technology scaling on the atmospheric neutron soft error rate , 2000 .

[10]  Alan V. Oppenheim,et al.  Discrete-Time Signal Pro-cessing , 1989 .

[11]  Naresh R. Shanbhag,et al.  Performance analysis of algorithmic noise-tolerance techniques , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

[12]  Todd M. Austin,et al.  DIVA: a reliable substrate for deep submicron microarchitecture design , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.

[13]  Farokh B. Bastani,et al.  Exploiting redundancy to speed up parallel systems , 1993, IEEE Parallel & Distributed Technology: Systems & Applications.

[14]  M. Nicolaidis,et al.  Evaluation of a soft error tolerance technique based on time and/or space redundancy , 2000, Proceedings 13th Symposium on Integrated Circuits and Systems Design (Cat. No.PR00843).

[15]  N.R. Shanbhag,et al.  Reduced precision redundancy for low-power digital filtering , 2001, Conference Record of Thirty-Fifth Asilomar Conference on Signals, Systems and Computers (Cat.No.01CH37256).

[16]  R. Baumann Soft errors in advanced semiconductor devices-part I: the three radiation sources , 2001 .

[17]  L. Wissel,et al.  Managing soft errors in ASICs , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).

[18]  K. L. Shepard,et al.  Noise in deep submicron digital design , 1996, ICCAD 1996.

[19]  Lorenzo Alvisi,et al.  Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.

[20]  J. R. Srour,et al.  Radiation effects on microelectronics in space , 1988, Proc. IEEE.

[21]  Arun K. Somani,et al.  REESE: a method of soft error detection in microprocessors , 2001, 2001 International Conference on Dependable Systems and Networks.

[22]  Vivek De,et al.  Technology and design challenges for low power and high performance [microprocessors] , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[23]  T. Moon,et al.  Mathematical Methods and Algorithms for Signal Processing , 1999 .

[24]  Ming Zhang,et al.  A novel forward-backward predictor based low-power DSP system , 2004, IEEE Workshop onSignal Processing Systems, 2004. SIPS 2004..

[25]  Naresh R. Shanbhag,et al.  Low-power filtering via adaptive error-cancellation , 2003, IEEE Trans. Signal Process..

[26]  Lorena Anghel,et al.  Self-checking circuits versus realistic faults in very deep submicron , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[27]  T. Calin,et al.  Upset hardened memory design for submicron CMOS technology , 1996 .

[28]  R. Baumann The impact of technology scaling on soft error rate performance and limits to the efficacy of error correction , 2002, Digest. International Electron Devices Meeting,.

[29]  Bharat L. Bhuva,et al.  Analysis of single-event effects in combinational logic-simulation of the AM2901 bitslice processor , 2000 .

[30]  Pinaki Mazumder An on-chip ECC circuit for correcting soft errors in DRAMs with trench capacitors , 1992 .

[31]  Naresh R. Shanbhag,et al.  Reliable and efficient system-on-chip design , 2004, Computer.