3RSeT: Read Disturbance Rate Reduction in STT-MRAM Caches by Selective Tag Comparison

Recent development in memory technologies has introduced Spin-Transfer Torque Magnetic RAM (STT-MRAM) as the most promising replacement for SRAMs in on-chip cache memories. Besides its lower leakage power, higher density, immunity to radiation-induced particles, and non-volatility, an unintentional bit flip during read operation, referred to as read disturbance error, is a severe reliability challenge in STT-MRAM caches. One major source of read disturbance error in STT-MRAM caches is simultaneous accesses to all tags for parallel comparison operation in a cache set, which has not been addressed in previous work. This paper first demonstrates that high read accesses to tag array extremely increase the read disturbance rate and then proposes a low-cost scheme, so-called Read Disturbance Rate Reduction in STT-MRAM Caches by Selective Tag Comparison (3RSeT), to reduce the error rate by eliminating a significant portion of tag reads. 3RSeT proactively disables the tags that have no chance for hit, using low significant bits of the tags on each access request. Our evaluations using gem5 full-system cycle-accurate simulator show that 3RSeT reduces the read disturbance rate in the tag array by 71.8%, which results in 3.6x improvement in Mean Time To Failure (MTTF). In addition, the energy consumption is reduced by 62.1% without compromising performance and with less than 0.4% area overhead.

[1]  Andrew Marshall,et al.  28nm STT-MRAM Array and Sense Amplifier , 2019, 2019 8th International Conference on Modern Circuits and Systems Technologies (MOCAST).

[2]  Hamed Farbeh,et al.  REACT: Read/Write Error Rate Aware Coding Technique for Emerging STT-MRAM Caches , 2019, IEEE Transactions on Magnetics.

[3]  Seyed Ghassem Miremadi,et al.  Investigating the Effects of Process Variations and System Workloads on Reliability of STT-RAM Caches , 2016, 2016 12th European Dependable Computing Conference (EDCC).

[4]  H. Ohno,et al.  Highly-scalable disruptive reading scheme for Gb-scale SPRAM and beyond , 2010, 2010 IEEE International Memory Workshop.

[5]  Ying Wang,et al.  An Adaptive Thermal-Aware ECC Scheme for Reliable STT-MRAM LLC Design , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Hossein Asadi,et al.  ROBIN: incremental oblique interleaved ECC for reliability improvement in STT-MRAM caches , 2019, ASP-DAC.

[7]  Fang Liu,et al.  Shielding STT-RAM Based Register Files on GPUs against Read Disturbance , 2016, ACM J. Emerg. Technol. Comput. Syst..

[8]  Weisheng Zhao,et al.  Towards Spintronics Nonvolatile Caches , 2019, Applications of Emerging Memory Technology.

[9]  Seyed Ghassem Miremadi,et al.  TA-LRW: A Replacement Policy for Error Rate Reduction in STT-MRAM Caches , 2019, IEEE Transactions on Computers.

[10]  Mehdi B. Tahoori,et al.  Compiler-Assisted and Profiling-Based Analysis for Fast and Efficient STT-MRAM On-Chip Cache Design , 2019, ACM Trans. Design Autom. Electr. Syst..

[11]  No License,et al.  Intel ® 64 and IA-32 Architectures Software Developer ’ s Manual Volume 3 A : System Programming Guide , Part 1 , 2006 .

[12]  Kazuaki Murakami,et al.  Way-predicting set-associative cache for high performance and low energy consumption , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[13]  Sparsh Mittal Mitigating Read-disturbance Errors in STT-RAM Caches by Using Data Compression , 2017, ArXiv.

[14]  Seong-Ook Jung,et al.  Read Disturbance Reduction Technique for Offset-Canceling Dual-Stage Sensing Circuits in Deep Submicrometer STT-RAM , 2016, IEEE Transactions on Circuits and Systems II: Express Briefs.

[15]  G. S. Kar Impact of Magnetic Coupling and Density on STT-MRAM Performance , 2019 .

[16]  Hamed Farbeh,et al.  Sleepy-LRU: extending the lifetime of non-volatile caches by reducing activity of age bits , 2019, The Journal of Supercomputing.

[17]  Biju K. Raveendran,et al.  Way Halted Prediction Cache: An Energy Efficient Cache Architecture for Embedded Processors , 2015, 2015 28th International Conference on VLSI Design.

[18]  David R. O'Hallaron,et al.  Computer Systems: A Programmer's Perspective , 1991 .

[19]  Youguang Zhang,et al.  Read disturbance issue and design techniques for nanoscale STT-MRAM , 2016, J. Syst. Archit..

[20]  Zhiyong Xu,et al.  Partial tag comparison: a new technology for power-efficient set-associative cache designs , 2004, 17th International Conference on VLSI Design. Proceedings..

[21]  T. Devolder,et al.  Self-Enabled “Error-Free” Switching Circuit for Spin Transfer Torque MRAM and Logic , 2012, IEEE Transactions on Magnetics.

[22]  Hyuk-Jae Lee,et al.  Segmented Tag Cache: A Novel Cache Organization for Reducing Dynamic Read Energy , 2019, IEEE Transactions on Computers.

[23]  Seyed Ghassem Miremadi,et al.  Floating-ECC: Dynamic Repositioning of Error Correcting Code Bits for Extending the Lifetime of STT-RAM Caches , 2016, IEEE Transactions on Computers.

[24]  Swaroop Ghosh,et al.  Impact of process-variations in STTRAM and adaptive boosting for robustness , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[25]  Youguang Zhang,et al.  Reconfigurable Codesign of STT-MRAM Under Process Variations in Deeply Scaled Technology , 2015, IEEE Transactions on Electron Devices.

[26]  Jen-Wei Hsieh,et al.  TSE: Two-Step Elimination for MLC STT-RAM Last-Level Cache , 2020 .

[27]  Jun Wang,et al.  Energy-Aware Adaptive Restore Schemes for MLC STT-RAM Cache , 2017, IEEE Transactions on Computers.

[28]  Jeffrey S. Vetter,et al.  Addressing Read-Disturbance Issue in STT-RAM by Data Compression and Selective Duplication , 2017, IEEE Computer Architecture Letters.

[29]  Kaushik Roy,et al.  Yield, Area, and Energy Optimization in STT-MRAMs Using Failure-Aware ECC , 2015, ACM J. Emerg. Technol. Comput. Syst..

[30]  Jun Yang,et al.  Selective restore: An energy efficient read disturbance mitigation scheme for future STT-MRAM , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[31]  Weisheng Zhao,et al.  High Speed, High Stability and Low Power Sensing Amplifier for MTJ/CMOS Hybrid Logic Circuits , 2009, IEEE Transactions on Magnetics.

[32]  Soontae Kim,et al.  Ternary cache: Three-valued MLC STT-RAM caches , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

[33]  Gi-Ho Park,et al.  NVM Way Allocation Scheme to Reduce NVM Writes for Hybrid Cache Architecture in Chip-Multiprocessors , 2017, IEEE Transactions on Parallel and Distributed Systems.

[34]  Bin Liu,et al.  Low Energy Partial Tag Comparison Cache Using Valid-bit Pre-decision , 2006, TENCON 2006 - 2006 IEEE Region 10 Conference.

[35]  Ronald G. Dreslinski,et al.  Embedded way prediction for last-level caches , 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

[36]  Hamed Farbeh,et al.  A-CACHE: Alternating Cache Allocation to Conduct Higher Endurance in NVM-Based Caches , 2019, IEEE Transactions on Circuits and Systems II: Express Briefs.

[37]  Mehdi Baradaran Tahoori,et al.  Read disturb fault detection in STT-MRAM , 2014, 2014 International Test Conference.

[38]  Rami G. Melhem,et al.  Leveraging ECC to Mitigate Read Disturbance, False Reads and Write Faults in STT-RAM , 2016, 2016 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

[39]  Jacques-Olivier Klein,et al.  Design considerations and strategies for high-reliable STT-MRAM , 2011, Microelectron. Reliab..

[40]  Dirk Grunwald,et al.  Predictive sequential associative cache , 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.

[41]  John L. Henning SPEC CPU2006 benchmark descriptions , 2006, CARN.

[42]  Jae-Joon Kim,et al.  Analysis and Optimization of Thermal Effect on STT-RAM Based 3-D Stacked Cache Design , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[43]  Puneet Gupta,et al.  Compression with multi-ECC: enhanced error resiliency for magnetic memories , 2019, MEMSYS.

[44]  Seyed Ghassem Miremadi,et al.  AWARE: Adaptive Way Allocation for Reconfigurable ECCs to Protect Write Errors in STT-RAM Caches , 2019, IEEE Transactions on Emerging Topics in Computing.

[45]  Mehdi Baradaran Tahoori,et al.  Reducing Data Cache Susceptibility to Soft Errors , 2006, IEEE Transactions on Dependable and Secure Computing.

[46]  B. Shameedha Begum,et al.  Cache lifetime enhancement technique using hybrid cache-replacement-policy , 2019 .

[47]  Hossein Asadi,et al.  A System-Level Framework for Analytical and Empirical Reliability Exploration of STT-MRAM Caches , 2020, IEEE Transactions on Reliability.

[48]  Hossein Asadi,et al.  Enhancing Reliability of STT-MRAM Caches by Eliminating Read Disturbance Accumulation , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[49]  Seyed Ghassem Miremadi,et al.  RAW-Tag: Replicating in Altered Cache Ways for Correcting Multiple-Bit Errors in Tag Array , 2019, IEEE Transactions on Dependable and Secure Computing.

[50]  Frank Vahid,et al.  A Way-Halting Cache for Low-Energy High-Performance Systems , 2005, IEEE Computer Architecture Letters.