Checkpoint Processing and Recovery: Towards Scalable Large Instruction Window Processors
暂无分享,去创建一个
[1] Andrew R. Pleszkun,et al. Implementation of precise interrupts in pipelined processors , 1985, ISCA '98.
[2] J. Zalamea,et al. Two-level hierarchical register file organization for VLIW processors , 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.
[3] Rahul Razdan,et al. The Alpha 21264: a 500 MHz out-of-order execution microprocessor , 1997, Proceedings IEEE COMPCON 97. Digest of Papers.
[4] Josep Llosa,et al. Large virtual robs by processor checkpointing , 2002 .
[5] Nikil D. Dutt,et al. Partitioned register files for VLIWs: a preliminary analysis of tradeoffs , 1992, MICRO 25.
[6] Rajeev Balasubramonian,et al. Reducing the complexity of the register file in dynamic superscalar processors , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[7] Tejas Karkhanis,et al. A Day in the Life of a Data Cache Miss , 2002 .
[8] Chris Wilkerson,et al. Hierarchical scheduling windows , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[9] Eric Sprangle,et al. Increasing processor performance by implementing deeper pipelines , 2002, ISCA.
[10] Eric Rotenberg,et al. Assigning confidence to conditional branch predictions , 1996, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29.
[11] David J. Sager,et al. The microarchitecture of the Pentium 4 processor , 2001 .
[12] Kenneth C. Yeager. The Mips R10000 superscalar microprocessor , 1996, IEEE Micro.
[13] Sarita V. Adve,et al. Using speculative retirement and larger instruction windows to narrow the performance gap between memory consistency models , 1997, SPAA '97.
[14] Tong Li,et al. A large, fast instruction window for tolerating cache misses , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.
[15] Stamatis Vassiliadis,et al. Register renaming and dynamic speculation: an alternative approach , 1993, MICRO.
[16] J.F. Martinez,et al. Cherry: Checkpointed early resource recycling in out-of-order microprocessors , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[17] Balaram Sinharoy,et al. POWER4 system microarchitecture , 2002, IBM J. Res. Dev..
[18] Yale N. Patt,et al. Select-free instruction scheduling logic , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[19] Yale N. Patt,et al. Checkpoint repair for out-of-order execution machines , 1987, ISCA '87.