Towards Variation-Aware Test Methods
暂无分享,去创建一个
[1] Bernd Becker,et al. A Simulator of Small-Delay Faults Caused by Resistive-Open Defects , 2008, 2008 13th European Test Symposium.
[2] T. Chen,et al. Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[3] Joachim Haase,et al. Digital design at a crossroads How to make statistical design methodologies industrially relevant , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[4] Jan M. Rabaey,et al. Yield optimization with energy-delay constraints in low-power digital circuits , 2003, 2003 IEEE Conference on Electron Devices and Solid-State Circuits (IEEE Cat. No.03TH8668).
[5] Sudhakar M. Reddy,et al. Thread-Parallel Integrated Test Pattern Generator Utilizing Satisfiability Analysis , 2009, 2009 22nd International Conference on VLSI Design.
[6] Robert C. Aitken,et al. Current ratios: a self-scaling technique for production IDDQ testing , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
[7] John Paul Shen,et al. Extraction and simulation of realistic CMOS faults using inductive fault analysis , 1988, International Test Conference 1988 Proceeding@m_New Frontiers in Testing.
[8] W. Robert Daasch,et al. Statistical post-processing at wafersort-an alternative to burn-in and a manufacturable solution to test limit setting for sub-micron technologies , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).
[9] David Blaauw,et al. Statistical gate delay model considering multiple input switching , 2004, Proceedings. 41st Design Automation Conference, 2004..
[10] Wojciech Maly,et al. Current signatures [VLSI circuit testing] , 1996, Proceedings of 14th VLSI Test Symposium.
[11] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[12] Bernd Becker,et al. SUPERB: Simulator Utilizing Parallel Evaluation of Resistive Bridges , 2007 .
[13] Ilia Polian,et al. Adaptive voltage over-scaling for resilient applications , 2011, 2011 Design, Automation & Test in Europe.
[14] Bashir M. Al-Hashimi,et al. Process Variation-Aware Test for Resistive Bridges , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[15] Anne E. Gattiker. Unraveling Variability for Process/Product Improvement , 2008, 2008 IEEE International Test Conference.
[16] John Paul Shen,et al. Inductive Fault Analysis of MOS Integrated Circuits , 1985, IEEE Design & Test of Computers.
[17] Adit D. Singh,et al. On optimizing VLSI testing for product quality using die-yield prediction , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[18] David Blaauw,et al. Statistical Analysis and Optimization for VLSI: Timing and Power , 2005, Series on Integrated Circuits and Systems.
[19] Kaushik Roy,et al. Intrinsic leakage in low power deep submicron CMOS ICs , 1997, Proceedings International Test Conference 1997.
[20] Lawrence T. Pillage,et al. Skew And Delay Optimization For Reliable Buffered Clock Trees , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).
[21] Kwang-Ting Cheng,et al. Modeling, testing, and analysis for delay defects and noise effects in deep submicron devices , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[22] Peter Feldmann,et al. Statistical integrated circuit design , 1993 .
[23] Toshiyuki Maeda,et al. Invisible delay quality - SDQM model lights up what could not be seen , 2005, IEEE International Conference on Test, 2005..
[24] Todd M. Austin. Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation , 2003, SBCCI '06.
[25] Wojciech Maly,et al. From Contamination to Defects, Faults and Yield Loss , 1996 .
[26] Trevor Mudge,et al. Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[27] Bernd Becker,et al. Variation-Aware Fault Modeling , 2010, Asian Test Symposium.
[28] Mark Mohammad Tehranipoor,et al. Interconnect-Aware and Layout-Oriented Test-Pattern Selection for Small-Delay Defects , 2008, 2008 IEEE International Test Conference.
[29] Peter C. Maxwell. Adaptive Testing: Dealing with Process Variability , 2011, IEEE Design & Test of Computers.
[30] Kaushik Roy,et al. Novel sizing algorithm for yield improvement under process variation in nanometer technology , 2004, Proceedings. 41st Design Automation Conference, 2004..
[31] Luca Benini,et al. Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style , 2008, 2008 Design, Automation and Test in Europe.
[32] Jinjun Xiong,et al. Voltage binning under process variation , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[33] L. Pileggi,et al. Asymptotic probability extraction for non-normal distributions of circuit performance , 2004, ICCAD 2004.
[34] Theo J. Powell,et al. Delta Iddq for testing reliability , 2000, Proceedings 18th IEEE VLSI Test Symposium.
[35] Jinjun Xiong,et al. Statistical multilayer process space coverage for at-speed test , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[36] W. Robert Daasch,et al. Variance reduction and outliers: statistical analysis of semiconductor test data , 2005, IEEE International Conference on Test, 2005..
[37] Bernd Becker,et al. Extraction, Simulation and Test Generation for Interconnect Open Defects Based on Enhanced Aggressor-Victim Model , 2008, 2008 IEEE International Test Conference.
[38] Hans-Joachim Wunderlich. Models in Hardware Testing: Lecture Notes of the Forum in Honor of Christian Landrault , 2009 .
[39] Camelia Hora,et al. Defect-oriented cell-aware ATPG and fault simulation for industrial cell libraries and designs , 2009, 2009 International Test Conference.
[40] Irith Pomeranz,et al. Compact test sets for high defect coverage , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[41] Rob A. Rutenbar,et al. Interval-valued reduced order statistical interconnect modeling , 2004, ICCAD 2004.
[42] James McNames,et al. Neighbor selection for variance reduction in I/sub DDQ/ and other parametric data , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[43] Sachin S. Sapatnekar,et al. Statistical timing analysis with correlated non-Gaussian parameters using independent component analysis , 2006, 2006 43rd ACM/IEEE Design Automation Conference.