Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions

The simplicity of regular mesh topology Network on Chip (NoC) architecture leads to reductions in design time and manufacturing cost. A weakness of the regular shaped architecture is its inability to efficiently support cores of different sizes. A proposed way in literature to deal with this is to utilize the region concept, which helps to accommodate cores larger than the tile size in mesh topology NoC architectures. Region concept offers many new opportunities for NoC design, as well as provides new design issues and challenges. One of the most important among these is the design of an efficient deadlock free routing algorithm. Available adaptive routing algorithms developed for regular mesh topology cannot ensure freedom from deadlocks. In this paper, we list and discuss many new design issues which need to be handled for designing NoC systems incorporating cores larger than the tile size. We also present and compare two deadlock free routing algorithms for mesh topology NoC with regions. The idea of the first algorithm is borrowed from the area of fault tolerant networks, where a network topology is rendered irregular due to faults in routers or links, and is adapted for the new context. We compare this with an algorithm designed using a methodology for design of application specific routing algorithms for communication networks. The application specific routing algorithm tries to maximize adaptivity by using static and dynamic communication requirements of the application. Our study shows that the application specific routing algorithm not only provides much higher adaptivity, but also superior performance as compared to the other algorithm in all traffic cases. But this higher performance for the second algorithm comes at a higher area cost for implementing network routers.

[1]  Lionel M. Ni,et al.  A survey of wormhole routing techniques in direct networks , 1993, Computer.

[2]  Nobu Matsumoto,et al.  A single-chip MPEG-2 codec based on customizable media embedded processor , 2003 .

[3]  Shashi Kumar,et al.  Corrections to Chen and Chiu's Fault Tolerant Routing Algorithm for Mesh Networks , 2007, J. Inf. Sci. Eng..

[4]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[5]  Krishnan Srinivasan,et al.  Linear programming based techniques for synthesis of network-on-chip architectures , 2006, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[6]  Massoud Pedram,et al.  Codex-dp: co-design of communicating systems using dynamicprogramming , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[8]  S. Kumar,et al.  Design issues and performance evaluation of mesh NoC with regions , 2005, 2005 NORCHIP.

[9]  Lionel M. Ni,et al.  The turn model for adaptive routing , 1998, ISCA '98.

[10]  José Duato,et al.  A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks , 1993, IEEE Trans. Parallel Distributed Syst..

[11]  Shashi Kumar,et al.  A Method for Router Table Compression for Application Specific Routing in Mesh Topology NoC Architectures , 2006, SAMOS.

[12]  Luca Benini,et al.  A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[13]  Shashi Kumar,et al.  A two-step genetic algorithm for mapping task graphs to a network on chip architecture , 2003, Euromicro Symposium on Digital System Design, 2003. Proceedings..

[14]  Ran Ginosar,et al.  Routing Table Minimization for Irregular Mesh NoCs , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[15]  Lionel M. Ni,et al.  The Turn Model for Adaptive Routing , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

[16]  Vincenzo Catania,et al.  A Multi-objective Genetic Approach to Mapping Problem on Network-on-Chip , 2006, J. Univers. Comput. Sci..

[17]  Pedro López,et al.  Region-Based Routing: An Efficient Routing Mechanism to Tackle Unreliable Hardware in Network on Chips , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[18]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[19]  Suresh Chalasani,et al.  Fault-Tolerant Wormhole Routing Algorithms for Mesh Networks , 1995, IEEE Trans. Computers.

[20]  Vincenzo Catania,et al.  A methodology for design of application specific deadlock-free routing algorithms for NoC systems , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

[21]  Ran Ginosar,et al.  Automatic hardware-efficient SoC integration by QoS network on chip , 2004, Proceedings of the 2004 11th IEEE International Conference on Electronics, Circuits and Systems, 2004. ICECS 2004..

[22]  WuJie A Fault-Tolerant and Deadlock-Free Routing Protocol in 2D Meshes Based on Odd-Even Turn Model , 2003 .

[23]  Rudy Lauwereins,et al.  Design, Automation, and Test in Europe , 2008 .

[24]  Ge-Ming Chiu,et al.  The Odd-Even Turn Model for Adaptive Routing , 2000, IEEE Trans. Parallel Distributed Syst..

[25]  Ge-Ming Chiu,et al.  Fault-Tolerant Routing Algorithm for Meshes without Using Virtual Channels , 1998, J. Inf. Sci. Eng..

[26]  William J. Dally,et al.  Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.

[27]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[28]  Karam S. Chatha,et al.  Quality-of-service and error control techniques for mesh-based network-on-chip architectures , 2005, Integr..

[29]  Vincenzo Catania,et al.  Exploiting Communication Concurrency for Efficient Deadlock Free Routing in Reconfigurable NoC Platforms , 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

[30]  Radu Marculescu,et al.  Energy- and performance-aware mapping for regular NoC architectures , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[31]  Ranga Vemuri,et al.  An integrated multicomponent synthesis environment for MCMs , 1993, Computer.

[32]  Pierre Fraigniaud,et al.  A General Theory for Deadlock Avoidance in Wormhole-Routed Networks , 1998, IEEE Trans. Parallel Distributed Syst..

[33]  Chita R. Das,et al.  LAPSES: a recipe for high performance adaptive router design , 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

[34]  ChalasaniSuresh,et al.  Fault-Tolerant Wormhole Routing Algorithms for Mesh Networks , 1995 .

[35]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[36]  Partha Pratim Pande,et al.  Design of a switch for network on chip applications , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

[37]  José Duato,et al.  Segment-based routing: an efficient fault-tolerant routing algorithm for meshes and tori , 2006, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium.

[38]  Jipeng Zhou,et al.  Fault-tolerant wormhole routing in 2D meshes , 2000, Proceedings International Symposium on Parallel Architectures, Algorithms and Networks. I-SPAN 2000.

[39]  William J. Dally,et al.  Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels , 1993, IEEE Trans. Parallel Distributed Syst..

[40]  Norbert Wehn,et al.  Designing efficient irregular networks for heterogeneous systems-on-chip , 2008, J. Syst. Archit..

[41]  Massoud Pedram,et al.  Codex-dp: co-design of communicating systems using dynamic programming , 1999, DATE '99.

[42]  Radu Marculescu,et al.  "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[43]  Maurizio Palesi,et al.  Deadlock Free Routing Algorithms for Mesh Topology NoC Systems with Regions , 2006, 9th EUROMICRO Conference on Digital System Design (DSD'06).

[44]  Xin Wang,et al.  Asynchronous network node design for network-on-chip , 2005, International Symposium on Signals, Circuits and Systems, 2005. ISSCS 2005..

[45]  Jie Wu,et al.  A Fault-Tolerant and Deadlock-Free Routing Protocol in 2D Meshes Based on Odd-Even Turn Model , 2003, IEEE Trans. Computers.