A very low complexity IR-UWB transmitter with BPSK modulation for balanced antenna

A very low complexity impulse radio-ultrawideband (IR-UWB) transmitter suitable for balanced antenna is presented. This all-digital transmitter employs the binary phase-shift keying (BPSK) modulation scheme and eliminates the need for a balun. Also, a new Gaussian monocycle pulse generator is proposed which is used as impulse transmitted signal. The transmitter circuit was designed in 0.18-μm complementary metal–oxide–semiconductor technology. The post-simulation results show that the core chip size was only 0.02 mm2. The output amplitude pulse yielded 150 mV peak-to-peak under a supply voltage of 1.8 V. Simulation results show that the transmitter consumes 8.5 pJ/pulse for 200-MHz pulse repeating frequency. © 2014 Institute of Electrical Engineers of Japan. Published by John Wiley & Sons, Inc.

[1]  Marco Crepaldi,et al.  A Very Low-Complexity 0.3–4.4 GHz 0.004 mm$ ^{2}$ All-Digital Ultra-Wide-Band Pulsed Transmitter for Energy Detection Receivers , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[2]  Xiaomin Chen,et al.  Monocycle shapes for ultra wideband system , 2002, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).

[3]  Mariagrazia Graziano,et al.  A Fully Differential Digital CMOS UWB Pulse Generator , 2009, Circuits Syst. Signal Process..

[4]  A.P. Chandrakasan,et al.  An Energy-Efficient All-Digital UWB Transmitter Employing Dual Capacitively-Coupled Pulse-Shaping Drivers , 2009, IEEE Journal of Solid-State Circuits.

[5]  Enrique Barajas,et al.  A 75 pJ/bit all-digital quadrature coherent IR-UWB transceiver in 0.18 µm CMOS , 2010, 2010 IEEE Radio Frequency Integrated Circuits Symposium.

[6]  Ryuji Kohno,et al.  Ultra Wideband Signals and Systems in Communication Engineering: Ghavami/Ultra Wideband Signals and Systems in Communication Engineering , 2004 .

[7]  V. Srinivasa Somayazulu,et al.  Ultrawideband radio design: the promise of high-speed, short-range wireless connectivity , 2004, Proceedings of the IEEE.

[8]  Jan Craninckx,et al.  A 0.65-to-1.4 nJ/Burst 3-to-10 GHz UWB All-Digital TX in 90 nm CMOS for IEEE 802.15.4a , 2007, IEEE Journal of Solid-State Circuits.

[9]  H. Ishikuro,et al.  A 750 Mb/s, 12 pJ/b, 6-to-10 GHz CMOS IR-UWB Transmitter With Embedded On-Chip Antenna , 2009, IEEE Journal of Solid-State Circuits.

[10]  K. Sakamura,et al.  A UWB-IR Transmitter With Digitally Controlled Pulse Generator , 2007, IEEE Journal of Solid-State Circuits.