SuperRange: Wide operational range power delivery design for both STV and NTV computing
暂无分享,去创建一个
Xin He | Xiaowei Li | Yinhe Han | Guihai Yan | Xiaowei Li | Xin He | Guihai Yan | Yinhe Han
[1] Saurabh Dighe,et al. A 280mV-to-1.2V wide-operating-range IA-32 processor in 32nm CMOS , 2012, 2012 IEEE International Solid-State Circuits Conference.
[2] Taewhan Kim,et al. DC–DC Converter-Aware Power Management for Low-Power Embedded Systems , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[3] Nam Sung Kim,et al. Cost-effective power delivery to support per-core voltage domains for power-constrained processors , 2012, DAC Design Automation Conference 2012.
[4] Hao Wang,et al. Workload-aware voltage regulator optimization for power efficient multi-core processors , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[5] Minyi Guo,et al. AgileRegulator: A hybrid voltage regulator scheme redeeming dark silicon for power efficiency in a multicore architecture , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[6] Karthikeyan Sankaralingam,et al. Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.
[7] Gu-Yeon Wei,et al. A Fully-Integrated 3-Level DC-DC Converter for Nanosecond-Scale DVFS , 2012, IEEE Journal of Solid-State Circuits.
[8] T. Karnik,et al. Optimal Design of Monolithic Integrated DC-DC Converters , 2006, 2006 IEEE International Conference on IC Design and Technology.
[9] David Blaauw,et al. Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits , 2010, Proceedings of the IEEE.
[10] Oscar Garcia,et al. Efficiency improvement in multiphase converter by changing dynamically the number of phases , 2006 .
[11] Naehyuck Chang,et al. Simultaneous optimization of battery-aware voltage regulator scheduling with dynamic voltage and frequency scaling , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).
[12] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[13] Alon Naveh,et al. Power management architecture of the 2nd generation Intel® Core microarchitecture, formerly codenamed Sandy Bridge , 2011, IEEE Hot Chips Symposium.
[14] Massoud Pedram,et al. Optimal Selection of Voltage Regulator Modules in a Power Delivery Network , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[15] Meeta Sharma Gupta,et al. System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[16] Vincent Ng,et al. A 92%-efficiency wide-input-voltage-range switched-capacitor DC-DC converter , 2012, 2012 IEEE International Solid-State Circuits Conference.
[17] Massoud Pedram,et al. Design of an efficient power delivery network in an soc to enable dynamic power management , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[18] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[19] J. Kim,et al. An efficient digital sliding controller for adaptive power supply regulation , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).
[20] Elad Alon,et al. Design Techniques for Fully Integrated Switched-Capacitor DC-DC Converters , 2011, IEEE Journal of Solid-State Circuits.