Energy-Efficient Single-Ended Read/Write 10T Near-Threshold SRAM

Modern system-on-chip-based applications require low-power/energy SRAMs for long-term operation. To deal with this issue, near-threshold SRAM design is an effective approach. In this regard, this paper presents an energy-efficient single-ended 10T (SE10T) near-threshold SRAM. The proposed SE10T improves read stability and writability with the help of a built-in read-assist scheme and a power-gating technique, respectively, and reduces power/energy consumption by using single-ended read/write operation and stacking of transistors in the cell core. Simulation results in 32-nm CMOS technology at a 0.6 V show that the proposed design improves read stability/writability by <inline-formula> <tex-math notation="LaTeX">$3.03\times /1.35\times $ </tex-math></inline-formula>, reduces leakage power by 46.09%, and offers improvements of 86.09%/88.81% and 73.82%/62.72% in read/write power and read/write energy, respectively, in comparison with the conventional 6T SRAM. The minimum operation voltage of the proposed design is the lowest (<inline-formula> <tex-math notation="LaTeX">$V_{min} =590$ </tex-math></inline-formula> mV), which is reduced by 41% compared to the conventional 6T. However, read/write delay in the proposed design is increased by <inline-formula> <tex-math notation="LaTeX">$2.48\times /5.40\times $ </tex-math></inline-formula> due to being single-ended, and the layout area of the proposed design is <inline-formula> <tex-math notation="LaTeX">$1.893~\mu \text{m}^{2}$ </tex-math></inline-formula>, which is <inline-formula> <tex-math notation="LaTeX">$1.82\times $ </tex-math></inline-formula> larger than that of the conventional 6T.

[1]  Erfan Abbasian A Highly Stable Low-Energy 10T SRAM for Near-Threshold Operation , 2022, IEEE Transactions on Circuits and Systems I: Regular Papers.

[2]  M. Gholipour,et al.  A Reliable Low Standby Power 10T SRAM Cell With Expanded Static Noise Margins , 2022, IEEE Transactions on Circuits and Systems I: Regular Papers.

[3]  E. Abiri,et al.  A Write Bit-Line Free Sub-threshold SRAM Cell with Fully Half-Select Free Feature and High Reliability for Ultra-Low Power Applications , 2021, AEU - International Journal of Electronics and Communications.

[4]  Xiaoyang Zeng,et al.  Radiation Hardened 12T SRAM With Crossbar-Based Peripheral Circuit in 28nm CMOS Technology , 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

[5]  Seong-Ook Jung,et al.  One-Sided Schmitt-Trigger-Based 9T SRAM Cell for Near-Threshold Operation , 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

[6]  Xin Si,et al.  A Half-Select Disturb-Free 11T SRAM Cell With Built-In Write/Read-Assist Scheme for Ultralow-Voltage Operations , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Benton H. Calhoun,et al.  Low-Power Near-Threshold 10T SRAM Bit Cells With Enhanced Data-Independent Read Port Leakage for Array Augmentation in 32-nm CMOS , 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

[8]  Mohd. Hasan,et al.  Low Leakage Fully Half-Select-Free Robust SRAM Cells With BTI Reliability Analysis , 2018, IEEE Transactions on Device and Materials Reliability.

[9]  Andreia Cathelin,et al.  A 128 kb 7T SRAM Using a Single-Cycle Boosting Mechanism in 28-nm FD–SOI , 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

[10]  Neeta Pandey,et al.  Pentavariate $V_{\mathrm{min}}$ Analysis of a Subthreshold 10T SRAM Bit Cell With Variation Tolerant Write and Divided Bit-Line Read , 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

[11]  Massoud Pedram,et al.  Internal write-back and read-before-write schemes to eliminate the disturbance to the half-selected cells in SRAMs , 2018, IET Circuits Devices Syst..

[12]  Jinn-Shyan Wang,et al.  A 0.2 V 32-Kb 10T SRAM With 41 nW Standby Power for IoT Applications , 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

[13]  David Blaauw,et al.  A 23-mW Face Recognition Processor with Mostly-Read 5T Memory in 40-nm CMOS , 2017, IEEE Journal of Solid-State Circuits.

[14]  Meng-Fan Chang,et al.  A Compact-Area Low-VDDmin 6T SRAM With Improvement in Cell Stability, Read Speed, and Write Margin Using a Dual-Split-Control-Assist Scheme , 2017, IEEE Journal of Solid-State Circuits.

[15]  Jongsun Park,et al.  Half-Select Free and Bit-Line Sharing 9T SRAM for Reliable Supply Voltage Scaling , 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

[16]  Mohd. Hasan,et al.  Low Leakage Single Bitline 9 T (SB9T) Static Random Access Memory , 2017, Microelectron. J..

[17]  Hanwool Jeong,et al.  Power-Gated 9T SRAM Cell for Low-Energy Operation , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[18]  Mohd. Hasan,et al.  Single-Ended Schmitt-Trigger-Based Robust Low-Power SRAM Cell , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[19]  Seong-Ook Jung,et al.  Single-Ended 9T SRAM Cell for Near-Threshold Voltage Operation With Enhanced Read Performance in 22-nm FinFET Technology , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Jun Zhou,et al.  Design of an Ultra-low Voltage 9T SRAM With Equalized Bitline Leakage and CAM-Assisted Energy Efficiency Improvement , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

[21]  Hao-I Yang,et al.  A 40 nm 512 kb Cross-Point 8 T Pipeline SRAM With Binary Word-Line Boosting Control, Ripple Bit-Line and Adaptive Data-Aware Write-Assist , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

[22]  Ming-Hsien Tu,et al.  40 nm Bit-Interleaving 12T Subthreshold SRAM With Data-Aware Write-Assist , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

[23]  Sied Mehdi Fakhraie,et al.  An 8T Low-Voltage and Low-Leakage Half-Selection Disturb-Free SRAM Using Bulk-CMOS and FinFETs , 2014, IEEE Transactions on Electron Devices.

[24]  Meng-Fan Chang,et al.  A Sub-0.3 V Area-Efficient L-Shaped 7T SRAM With Read Bitline Swing Expansion Schemes Based on Boosted Read-Bitline, Asymmetric-V$_{\rm TH}$ Read-Port, and Offset Cell VDD Biasing Techniques , 2013, IEEE Journal of Solid-State Circuits.

[25]  Wei Hwang,et al.  Design and Iso-Area $V_{\min}$ Analysis of 9T Subthreshold SRAM With Bit-Interleaving Scheme in 65-nm CMOS , 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.

[26]  K. Mistry,et al.  Low-k interconnect stack with metal-insulator-metal capacitors for 22nm high volume manufacturing , 2012, 2012 IEEE International Interconnect Technology Conference.

[27]  Chien-Yu Lu,et al.  A Single-Ended Disturb-Free 9T Subthreshold SRAM With Cross-Point Data-Aware Write Word-Line Structure, Negative Bit-Line, and Adaptive Read Operation Timing Tracing , 2012, IEEE Journal of Solid-State Circuits.

[28]  Meng-Fan Chang,et al.  A 130 mV SRAM With Expanded Write and Read Margins for Subthreshold Applications , 2011, IEEE Journal of Solid-State Circuits.

[29]  Shi-Yu Huang,et al.  P-P-N Based 10T SRAM Cell for Low-Leakage and Resilient Subthreshold Operation , 2011, IEEE Journal of Solid-State Circuits.

[30]  Zhi-Hui Kong,et al.  An 8T Differential SRAM With Improved Noise Margin for Bit-Interleaving in 65 nm CMOS , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

[31]  Meng-Fan Chang,et al.  A large σVTH/VDD tolerant zigzag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme , 2010, 2010 Symposium on VLSI Circuits.

[32]  Y. Nara,et al.  Scaling challenges of MOSFET for 32nm node and beyond , 2009, 2009 International Symposium on VLSI Technology, Systems, and Applications.

[33]  R.H. Dennard,et al.  An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches , 2008, IEEE Journal of Solid-State Circuits.

[34]  C.H. Kim,et al.  A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing , 2008, IEEE Journal of Solid-State Circuits.

[35]  K. Roy,et al.  A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.

[36]  Seong-Ook Jung,et al.  Differential Read/Write 7T SRAM With Bit-Interleaved Structure for Near-Threshold Operation , 2021, IEEE Access.

[37]  C. B. Kushwah,et al.  A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[38]  Mathias Beike,et al.  Digital Integrated Circuits A Design Perspective , 2016 .

[39]  Meng-Fan Chang,et al.  A differential data aware power-supplied (D2AP) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications , 2009, 2009 Symposium on VLSI Circuits.