Boundary scan based interconnect testing design for silicon interposer in 2.5D ICs

Abstract Interposer-based 2.5-dimensional integrated circuit (2.5D IC) is considered as a promising solution to problems like wire delay and power consumption faced by the semiconductor industry today. Since the interconnect wires in the silicon interposer may be defective during fabrication and assembly, they must be adequately tested to ensure product qualification. This paper presents an efficient interconnect test architecture to detect open, short and delay faults, which is compatible with the IEEE 1149.1 standard. It provides a new boundary scan structure with low test power consumption. To reduce the overall test cost, a data-package based test structure is proposed to match the test data transfer volume between TSVs and scan chains. Interconnects of multiple dies can be tested simultaneously under constrains of test power with minimum external test pins. The simulation results validate the effectiveness of the proposed test method. We also present synthesis results to evaluate the area overhead.

[1]  Najmi T. Jarwala Designing “Dual Personality” IEEE 1149.1 Compliant Multi-Chip Modules , 1997, J. Electron. Test..

[2]  Li Li,et al.  Reliability Challenges in 2.5D and 3D IC Integration , 2017, 2017 IEEE 67th Electronic Components and Technology Conference (ECTC).

[3]  Krishnendu Chakrabarty,et al.  Prebond Testing and Test-Path Design for the Silicon Interposer in 2.5-D ICs , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  T. Kurihara,et al.  A Silicon interposer BGA package with Cu-filled TSV and multi-layer Cu-plating interconnect , 2008, 2008 58th Electronic Components and Technology Conference.

[5]  Cheng-Wen Wu,et al.  3D-IC interconnect test, diagnosis, and repair , 2013, 2013 IEEE 31st VLSI Test Symposium (VTS).

[6]  Krishnendu Chakrabarty,et al.  Pre-bond testing of the silicon interposer in 2.5D ICs , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[7]  Erik Jan Marinissen Challenges and emerging solutions in testing TSV-based 2 1 over 2D- and 3D-stacked ICs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[8]  Jeongho Cho,et al.  Test and debug strategy for TSMC CoWoS™ stacking process based heterogeneous 3D IC: A silicon case study , 2013, 2013 IEEE International Test Conference (ITC).

[9]  Shi-Yu Huang,et al.  Delay testing and characterization of post-bond interposer wires in 2.5-D ICs , 2013, 2013 IEEE International Test Conference (ITC).

[10]  M. N. Shanmukha Swamy,et al.  BIST-Based Low Power Test Vector Generator and Minimizing Bulkiness of VLSI Architecture , 2018, J. Circuits Syst. Comput..

[11]  Krishnendu Chakrabarty,et al.  Interconnect Testing and Test-Path Scheduling for Interposer-Based 2.5-D ICs , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Sujit Dey,et al.  Self-test methodology for at-speed test of crosstalk in chip interconnects , 2000, DAC.

[13]  Krishnendu Chakrabarty,et al.  Scan-Based Testing of Post-Bond Silicon Interposer Interconnects in 2.5-D ICs , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  M. Krishna Chaitanya,et al.  A Low Power Test Pattern Generator for Minimizing Switching Activities and Power Consumption , 2018, 2018 International Conference on Inventive Research in Computing Applications (ICIRCA).

[15]  Yusuf Leblebici,et al.  Design and feasibility of multi-Gb/s quasi-serial vertical interconnects based on TSVs for 3D ICs , 2010, 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip.

[16]  Kenneth E. Posse A DESIGN-FOR-TESTABILITY ARCHITECTURE FOR MULTICHIP MODULES , 1991, 1991, Proceedings. International Test Conference.

[17]  Erik Jan Marinissen,et al.  Post-bond testing of 2.5D-SICs and 3D-SICs containing a passive silicon interposer base , 2011, 2011 IEEE International Test Conference.

[18]  Cheng-Wen Wu,et al.  On Improving Interconnect Defect Diagnosis Resolution and Yield for Interposer-Based 3-D ICs , 2014, IEEE Design & Test.

[19]  Yao-Wen Chang,et al.  Multiple chip planning for chip-interposer codesign , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[20]  Mehdi Baradaran Tahoori,et al.  Multicast Testing of Interposer-Based 2.5D ICs , 2018, ACM Trans. Design Autom. Electr. Syst..

[21]  Resve Saleh,et al.  Analysis and design of digital integrated circuits : in deep submicron technology , 2003 .

[22]  John H. Lau,et al.  3D IC Integration with TSV Interposers for High Performance Applications , 2010 .

[23]  Junho Lee,et al.  High-Frequency Scalable Electrical Model and Analysis of a Through Silicon Via (TSV) , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[24]  Krishnendu Chakrabarty,et al.  Built-In Self-Test and Test Scheduling for Interposer-Based 2.5D IC , 2015, ACM Trans. Design Autom. Electr. Syst..

[25]  T. Kurihara,et al.  Silicon interposer with TSVs (Through Silicon Vias) and fine multilayer wiring , 2008, 2008 58th Electronic Components and Technology Conference.