Crosstalk-minimum layer assignment

A novel layer assignment algorithm for high-performance multilayer packages, such as multichip modules (MCMs), is proposed. The focus is on assigning nets to layers to minimize the crosstalk between nets, while simultaneously minimizing the number of vias and layers. A novel net interference measure based on potential crosstalk and planarity is used to construct a net interference graph (NIG), and a new graph coloring and permutation algorithm is used to find an interference-minimized subset in each layer and a minimum crosstalk between layers. Theoretical and experimental results on this multilayer assignment approach are presented. The proposed maximum linear permutation heuristic is very robust and allows the incorporation of various design constraints (e.g., crosstalk, crossover, and critical area) and cost criteria.

[1]  A. Reisman,et al.  A thermal module design for advanced packaging , 1987 .

[2]  Kwang-Ting Cheng,et al.  A functional fault model for sequential machines , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Paul Molitor A Survey on Wiring , 1991, J. Inf. Process. Cybern..

[4]  Majid Sarrafzadeh,et al.  Multilayer routing algorithm for high performance MCMs , 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

[5]  Wu-Tung Cheng,et al.  Optimal diagnostic methods for wiring interconnects , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[6]  Malgorzata Marek-Sadowska An Unconstrained Topological Via Minimization Problem for Two-Layer Routing , 1984, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Majid Sarrafzadeh,et al.  A new approach to topological via minimization , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  David S. Johnson,et al.  Some Simplified NP-Complete Graph Problems , 1976, Theor. Comput. Sci..

[9]  H. H. Chen,et al.  Wiring And Crosstalk Avoidance In Multi-chip Module Design , 1992, 1992 Proceedings of the IEEE Custom Integrated Circuits Conference.

[10]  Philip M. Morse,et al.  Optimal Linear Ordering of Information Items , 1972, Oper. Res..

[11]  Chak-Kuen Wong,et al.  Layer assignment for multichip modules , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  Wentai Liu,et al.  Unconstrained via minimization for topological multilayer routing , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..