From device to system: Cross-layer design exploration of racetrack memory

Recently, Racetrack Memory (RM) has attracted more and more attention of memory researchers because it has advantages of ultra-high storage density, fast access speed, and non-volatility. Prior research has demonstrated that RM has potential to replace SRAM for large capacity on-chip memory design. At the same time, it also addressed that the design space exploration of RM could be more complicated compared to traditional on-chip memory technologies for several reasons. First, a single RM cell introduces more device level design parameters. Second, considering these device-level design factors, the layout exploration of a RM array demonstrates trade-off among area, performance, and power consumption of RM circuit level design. Third, in the architecture level, the unique “shift” operation results in an extra dimension for design exploration. In this paper, we will review all these design issues in different layers and try to reveal the relationship among them. The experimental results demonstrate that cross-layer design exploration is necessary for racetrack memory. In addition, a system level case study of using RM in a sensor node is presented to demonstrate its advantages over SRAM or STT-RAM.

[1]  S. Parkin,et al.  Magnetic Domain-Wall Racetrack Memory , 2008, Science.

[2]  Rong Luo,et al.  An energy efficient backup scheme with low inrush current for nonvolatile SRAM in energy harvesting sensor nodes , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[3]  Kaushik Roy,et al.  STAG: Spintronic-Tape Architecture for GPGPU cache hierarchies , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[4]  Chita R. Das,et al.  Architecting on-chip interconnects for stacked 3D STT-RAM caches in CMPs , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[6]  Kaushik Roy,et al.  TapeCache: a high density, energy efficient cache based on domain wall memory , 2012, ISLPED '12.

[7]  Bo Zhao,et al.  A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops , 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).

[8]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[9]  Weisheng Zhao,et al.  Current induced perpendicular-magnetic-anisotropy racetrack memory with magnetic field assistance , 2014 .

[10]  Kang L. Wang,et al.  Switching of perpendicular magnetization by spin-orbit torques in the absence of external magnetic fields. , 2013, Nature nanotechnology.

[11]  Weisheng Zhao,et al.  Peristaltic perpendicular-magnetic-anisotropy racetrack memory based on chiral domain wall motions , 2015 .

[12]  Chakravarthy Gopalan,et al.  Demonstration of Conductive Bridging Random Access Memory (CBRAM) in Logic CMOS Process , 2010, 2010 IEEE International Memory Workshop.

[13]  Mircea R. Stan,et al.  Relaxing non-volatility for fast and energy-efficient STT-RAM caches , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[14]  Jacques-Olivier Klein,et al.  Design and analysis of Racetrack memory based on magnetic domain wall motion in nanowires , 2014, 2014 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

[15]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[17]  P. Chevalier,et al.  Racetrack memory cell array with integrated magnetic tunnel junction readout , 2011, 2011 International Electron Devices Meeting.

[18]  Weisheng Zhao,et al.  Compact Modeling of Perpendicular-Anisotropy CoFeB/MgO Magnetic Tunnel Junctions , 2012, IEEE Transactions on Electron Devices.

[19]  G. Beach,et al.  Current-driven dynamics of chiral ferromagnetic domain walls. , 2013, Nature materials.

[20]  Kaushik Roy,et al.  DWM-TAPESTRI - An energy efficient all-spin cache using domain wall shift based writes , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[21]  A. Fert,et al.  The emergence of spin electronics in data storage. , 2007, Nature materials.

[22]  Meng-Fan Chang,et al.  A low store energy, low VDDmin, nonvolatile 8T2R SRAM with 3D stacked RRAM devices for low power mobile applications , 2010, 2010 Symposium on VLSI Circuits.

[23]  Weisheng Zhao,et al.  Perpendicular-magnetic-anisotropy CoFeB racetrack memory , 2012 .

[24]  J. H. Franken,et al.  Domain wall depinning governed by the spin Hall effect. , 2012, Nature materials.

[25]  C. Rettner,et al.  Current-Controlled Magnetic Domain-Wall Nanowire Shift Register , 2008, Science.

[26]  Fabrizio Lombardi,et al.  On the Nonvolatile Performance of Flip-Flop/SRAM Cells With a Single MTJ , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[27]  Wenqing Wu,et al.  Cross-layer racetrack memory design for ultra high density and low power consumption , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[28]  Heng-Yuan Lee,et al.  A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability , 2011, 2011 IEEE International Solid-State Circuits Conference.

[29]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).