An efficient methodology for extraction and simulation of transmission lines for application specific electronic modules

Physical interconnect introduces new challenges for parameter extraction and delay calculation for application specific electronic module (ASEM) design automation. Efficiency dictates the precharacterization of extracted electrical parameters in the same manner as application specific integrated circuits (ASICs). However, ASEM interconnect is dominated by frequency dependent LC propagation which makes precharacterization difficult for all possible configurations. Moreover, simulating the transient behavior of the ASEM interconnect for noise and delay analysis requires the combined use of a variety of models and techniques for efficiently handling lossy, low-loss, frequency dependent, and coupled transmission lines together with lumped parasitic elements. We propose to use conformal mapping to generate "abstracted" models for the electrical parameters of various RLC interconnect cross-sections, including the frequency dependence caused by ground plane proximity and skin effects. Along with precharacterized lumped parasitic elements and nonlinear driver and load models, these models are simulated using a generalized time-domain macromodeling approach that can combine different types of transmission line analysis in one simulation environment. An automatic selection mechanism is derived for determination of the best time-domain macromodel for a particular distributed segment.

[1]  V. Dvorak Computer simulation of signal propagation through a nonuniform transmission line , 1973 .

[2]  Jr. F.H. Branin,et al.  Transient analysis of lossless transmission lines , 1967 .

[3]  Tai-Yu Chou,et al.  High-Speed Interconnect Modeling and High-Accuracy Simulation Using SPICE and Finite Element Methods , 1993, 30th ACM/IEEE Design Automation Conference.

[4]  Mohammed Ghausi,et al.  Introduction to distributed-parameter networks , 1968 .

[5]  Raj Mittra,et al.  Nonlinear transient analysis of coupled transmission lines , 1989 .

[6]  Tom Dhaene,et al.  Selection of lumped element models for coupled lossy transmission lines , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Michel S. Nakhla,et al.  Delay and crosstalk simulation of high-speed VLSI interconnects with nonlinear terminations , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  A. Richard Newton,et al.  An impulse-response based linear time-complexity algorithm for lossy interconnect simulation , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[9]  H. A. Wheeler Transmission-Line Properties of Parallel Wide Strips by a Conformal-Mapping Approximation , 1964 .

[10]  Seok-Yoon Kim Time-domain macromodels of VLSI system interconnects , 1993 .

[11]  Lawrence T. Pillage,et al.  AWE macromodels of VLSI interconnect for circuit simulation , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

[12]  D. Neikirk,et al.  Highly accurate quasi-static modeling of microstrip lines over lossy substrates , 1992, IEEE Microwave and Guided Wave Letters.

[13]  Jacob A. Abraham,et al.  Improved methods of simulating RLC coupled and uncoupled transmission lines based on the method of characteristics , 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

[14]  Fung-Yuel Chang The generalized method of characteristics for waveform relaxation analysis of lossy coupled transmission lines , 1989 .

[15]  F.-Y. Chang Transient simulation of nonuniform coupled lossy transmission lines characterized with frequency-dependent parameters. I. Waveform relaxation analysis , 1992 .

[16]  Lawrence T. Pileggi,et al.  RICE: rapid interconnect circuit evaluation using AWE , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[17]  Lawrence T. Pillage,et al.  Finite-pole macromodels of transmission lines for circuit simulation , 1993, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '93.

[18]  Lawrence T. Pileggi,et al.  RICE: rapid interconnect circuit evaluator , 1991, 28th ACM/IEEE Design Automation Conference.

[19]  Sung-Mo Kang,et al.  Modeling and simulation of interconnection delays and crosstalks in high-speed integrated circuits , 1990 .

[20]  Dean P. Neikirk,et al.  Accurate quasi-static model for conductor loss in coplanar waveguide , 1993, 1993 IEEE MTT-S International Microwave Symposium Digest.

[21]  Ronald A. Rohrer,et al.  Extension of the asymptotic waveform evaluation technique with the method of characteristics , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

[22]  A. Sangiovanni-Vincentelli,et al.  An analytical-model generator for interconnect capacitances , 1991, Proceedings of the IEEE 1991 Custom Integrated Circuits Conference.