Test cost reduction for logic circuits: Reduction of test data volume and test application time
暂无分享,去创建一个
[1] S.T. Chakradhar,et al. Static compaction using overlapped restoration and segment pruning , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[2] Srimat T. Chakradhar,et al. Vector restoration using accelerated validation and refinement , 1998, Proceedings Seventh Asian Test Symposium (ATS'98) (Cat. No.98TB100259).
[3] Huaguo Liang,et al. Two-dimensional test data compression for scan-based deterministic BIST , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[4] Srimat T. Chakradhar,et al. Static test sequence compaction based on segment reordering and accelerated vector restoration , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).
[5] Nur A. Touba,et al. Scan vector compression/decompression using statistical coding , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).
[6] Anand Raghunathan,et al. Acceleration techniques for dynamic vector compaction , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[7] Nur A. Touba,et al. Using an embedded processor for efficient deterministic testing of systems-on-a-chip , 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).
[8] Krishnendu Chakrabarty,et al. Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.
[9] Dong Sam Ha,et al. An efficient method for compressing test data , 1997, Proceedings International Test Conference 1997.
[10] Alex Orailoglu,et al. Test volume and application time reduction through scan chain concealment , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[11] Kozo Kinoshita,et al. Fault models and test generation for IDDQ testing: embedded tutorial , 2000, ASP-DAC.
[12] Irith Pomeranz,et al. An approach for improving the levels of compaction achieved by vector omission , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
[13] Bernard Courtois,et al. Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers , 1995, IEEE Trans. Computers.
[14] Kewal K. Saluja,et al. Methods for dynamic test vector compaction in sequential test generation , 1996, Proceedings of 9th International Conference on VLSI Design.
[15] M. Ohta,et al. A test point insertion method to reduce the number of test patterns , 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..
[16] Nur A. Touba,et al. Test vector compression via statistical coding and dynamic compaction , 2000, 2000 IEEE Autotestcon Proceedings. IEEE Systems Readiness Technology Conference. Future Sustainment for Military Aerospace (Cat. No.00CH37057).
[17] Irith Pomeranz,et al. Dynamic test compaction for synchronous sequential circuits using static compaction techniques , 1996, Proceedings of Annual Symposium on Fault Tolerant Computing.
[18] Ralph Marlett,et al. Selectable Length Partial Scan: A Method to Reduce Vector Length , 1991, 1991, Proceedings. International Test Conference.
[19] Anand Raghunathan,et al. Dynamic test sequence compaction for sequential circuits , 1996, Proceedings of 9th International Conference on VLSI Design.
[20] Prabhakar Goel,et al. An Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits , 1981, IEEE Transactions on Computers.
[21] Masayoshi Yoshimura,et al. Novel DFT strategies using full/partial scan designs and test point insertion to reduce test application time , 2001 .
[22] Irith Pomeranz,et al. An approach to test compaction for scan circuits that enhances at-speed testing , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[23] J.H. Patel,et al. Test set compaction algorithms for combinational circuits , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[24] Nur A. Touba,et al. Test vector decompression via cyclical scan chains and its application to testing core-based designs , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).
[25] Elizabeth M. Rudnick,et al. Genetic-algorithm-based test generation for current testing of bridging faults in CMOS VLSI circuits , 1996, Proceedings of 14th VLSI Test Symposium.
[26] Tomoo Inoue,et al. Generating small test sets for test compression/decompression scheme using statistical coding , 2002, Proceedings First IEEE International Workshop on Electronic Design, Test and Applications '2002.
[27] Jian Liu,et al. Test width compression for built-in self testing , 1997, Proceedings International Test Conference 1997.
[28] Nur A. Touba,et al. Test vector encoding using partial LFSR reseeding , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[29] Elizabeth M. Rudnick,et al. Putting the squeeze on test sequences , 1997, Proceedings International Test Conference 1997.
[30] Irith Pomeranz,et al. Test transformation to improve compaction by statistical encoding , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
[31] Janak H. Patel,et al. Reducing test application time for full scan embedded cores , 1999, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352).
[32] Irith Pomeranz,et al. Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[33] Janak H. Patel,et al. Compaction of ATPG-generated test sequences for sequential circuits , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.
[34] Melvin A. Breuer,et al. Optimal Seguencing of Scan Registers , 1992, Proceedings International Test Conference 1992.
[35] Janak H. Patel,et al. Test compaction for sequential circuits , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[36] Kewal K. Saluja,et al. An algorithm to reduce test application time in full scan designs , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.
[37] Kwang-Ting Cheng,et al. An efficient compact test generator for I/sub DDQ/ testing , 1996, Proceedings of the Fifth Asian Test Symposium (ATS'96).
[38] Hideo Fujiwara,et al. Static and dynamic test sequence compaction methods for acyclic sequential circuits using a time expansion model , 1999, Proceedings Eighth Asian Test Symposium (ATS'99).
[39] Sreejit Chakravarty,et al. A study of I/sub DDQ/ subset selection algorithms for bridging faults , 1994, Proceedings., International Test Conference.
[40] Sreejit Chakravarty,et al. Introduction to ID̳D̳Q̳ testing , 1997 .
[41] Jau-Shien Chang,et al. A test clock reduction method for scan-designed circuits , 1994, Proceedings., International Test Conference.
[42] R. Rajsuman,et al. Iddq testing for CMOS VLSI , 1994, Proceedings of the IEEE.
[43] Krishnendu Chakrabarty,et al. System-on-a-chip test-data compression and decompressionarchitectures based on Golomb codes , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[44] Aiman H. El-Maleh,et al. A geometric-primitives-based compression scheme for testing systems-on-a-chip , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.
[45] Elizabeth M. Rudnick,et al. Efficient Techniques for Dynamic Test Sequence Compaction , 1999, IEEE Trans. Computers.
[46] Jhing-Fa Wang,et al. Overall consideration of scan design and test generation , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.
[47] Michael H. Schulz,et al. SOCRATES: a highly efficient automatic test pattern generation system , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[48] Irith Pomeranz,et al. Proptest: a property based test pattern generator for sequential circuits using test compaction , 1999, DAC '99.
[49] Michael S. Hsiao,et al. Fast algorithms for static compaction of sequential circuit test vectors , 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).
[50] Krishnendu Chakrabarty,et al. Built-in self testing of sequential circuits using precomputed test sets , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).
[51] Irith Pomeranz,et al. Procedures for static compaction of test sequences for synchronous sequential circuits based on vector restoration , 1998, Proceedings Design, Automation and Test in Europe.
[52] Kozo Kinoshita,et al. Efficient techniques for reducing IDDQ observation time for sequential circuits , 1999, Proceedings Twelfth International Conference on VLSI Design. (Cat. No.PR00013).
[53] Sreejit Chakravarty,et al. Algorithms to select IDDQ measurement points to detect bridging faults , 1996, J. Electron. Test..
[54] Irith Pomeranz,et al. Test data compression using don't-care identification and statistical encoding , 2002, Proceedings First IEEE International Workshop on Electronic Design, Test and Applications '2002.
[55] Paolo Prinetto,et al. New static compaction techniques of test sequences for sequential circuits , 1997, Proceedings European Design and Test Conference. ED & TC 97.
[56] Yashwant K. Malaiya,et al. Bridging faults and IDDQ testing , 1992 .
[57] P. Goel. Test Generation and Dynamic Compaction of Tests , 1979 .
[58] Dong Sam Ha,et al. COMPACT: a hybrid method for compressing test data , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).
[59] Michael S. Hsiao,et al. Fast Static Compaction Algorithms for Sequential Circuit Test Vectors , 1999, IEEE Trans. Computers.
[60] Janak H. Patel,et al. Reducing test application time for built-in-self-test test pattern generators , 2000, Proceedings 18th IEEE VLSI Test Symposium.
[61] Kwang-Ting Cheng,et al. Driving toward higher I/sub DDQ/ test quality for sequential circuits: A generalized fault model and its ATPG , 1996, ICCAD 1996.
[62] Kozo Kinoshita,et al. Test sequence compaction for sequential circuits with reset states , 2000, Proceedings of the Ninth Asian Test Symposium.
[63] Akihiro Yamamoto,et al. Parity-scan design to reduce the cost of test application , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[64] Terumine Hayashi,et al. A simple and efficient method for generating compact IDDQ test set for bridging faults , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).
[65] Irith Pomeranz,et al. On test data volume reduction for multiple scan chain designs , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).
[66] Janak H. Patel,et al. A case study on the implementation of the Illinois Scan Architecture , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[67] Irith Pomeranz,et al. On static test compaction and test pattern ordering for scan designs , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[68] Irith Pomeranz,et al. Vector restoration based static compaction of test sequences for synchronous sequential circuits , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.
[69] Irith Pomeranz,et al. COMPACTEST: A METHOD TO GENERATE COMPACT TEST SETS FOR COMBINATIONAL CIRCUITS , 1991, 1991, Proceedings. International Test Conference.
[70] Michael S. Hsiao,et al. Partitioning and reordering techniques for static test sequence compaction of sequential circuits , 1998, Proceedings Seventh Asian Test Symposium (ATS'98) (Cat. No.98TB100259).
[71] Kozo Kinoshita,et al. Observation time reduction for IDDQ testing of bridging faults in sequential circuits , 1998, Proceedings Seventh Asian Test Symposium (ATS'98) (Cat. No.98TB100259).
[72] Vishwani D. Agrawal,et al. An exact algorithm for selecting partial scan flip-flops , 1995, J. Electron. Test..
[73] Elizabeth M. Rudnick,et al. FreezeFrame: compact test generation using a frozen clock strategy , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).
[74] Irith Pomeranz,et al. Static Test Compaction for Scan-Based Designs to Reduce Test Application Time , 2000, J. Electron. Test..
[75] Kewal K. Saluja,et al. Sequential test generation with reduced test clocks for partial scan designs , 1994, Proceedings of IEEE VLSI Test Symposium.
[76] Kazumi Hatayama,et al. Low overhead test point insertion for scan-based BIST , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).
[77] Irith Pomeranz,et al. On static compaction of test sequences for synchronous sequential circuits , 1996, DAC '96.
[78] Irith Pomeranz,et al. Compact test generation for bridging faults under I/sub DDQ/ testing , 1995, Proceedings 13th IEEE VLSI Test Symposium.
[79] Nilanjan Mukherjee,et al. Embedded deterministic test for low cost manufacturing test , 2002, Proceedings. International Test Conference.
[80] Minesh B. Amin,et al. Efficient compression and application of deterministic patterns in a logic BIST architecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[81] B. Koenemann. A Smart BIST Variant Guaranteed Encoding , 2001 .
[82] Ad J. van de Goor,et al. Test point insertion for compact test sets , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
[83] Elizabeth M. Rudnick,et al. Simulation-based techniques for dynamic test sequence compaction , 1996, ICCAD 1996.
[84] S. R. Das,et al. An Approach for Simplifying Switching Functions by Utilizing the Cover Table Representation , 1971, IEEE Transactions on Computers.
[85] Melvin A. Breuer,et al. Ordering storage elements in a single scan chain , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.
[86] Kozo Kinoshita,et al. Design of partially parallel scan chain , 1997, Proceedings European Design and Test Conference. ED & TC 97.
[87] J. Paul Roth,et al. Diagnosis of automata failures: a calculus and a method , 1966 .
[88] Kozo Kinoshita,et al. Static test compaction for IDDQ testing of sequential circuits , 1998, Proceedings 1998 IEEE International Workshop on IDDQ Testing (Cat. No.98EX232).
[89] Irith Pomeranz,et al. On speeding-up vector restoration based static compaction of test sequences for sequential circuits , 1998, Proceedings Seventh Asian Test Symposium (ATS'98) (Cat. No.98TB100259).