Mask inspection placement maps for improving overlay

With each successive technology node the overlay specifications of the immersion lithography scanner have become increasingly more stringent. One of the challenges is high order distortions introduced by the mask. These distortions may contribute significantly to the product overlay budget raising it above the specification requirements and are not easy to correct. The higher order distortions, originating from pellicle and mask process imperfections, have been shown to result in errors in the range of several nanometers to the overall overlay budget [1],[2]. Correction markers and the actual product features cannot occupy the same space on the mask. As a result they might be exposed to differing local distortions which could result in non-optimal systematic distortion corrections [3]. Therefore high precision placement measurements of features across the mask are required for placement control and correction. The Applied Materials Aera2TM aerial imaging mask inspection system is capable of generating high precision global and local feature placement maps with a high measurement density. These maps can be used to monitor feature placement. Furthermore, the maps can be used in a feed forward APC system such as ASML's GridMapper IntrafieldTM[4]. This feed forward system helps to reduce the overall overlay error of feature processes and to meet the stringent overlay budget requirements. In this paper we present for the first time (?) mask registration results obtained with the Aera2 and show that this tool is able to meet the 1 [nm], 3δ ITRS requirement [5] for the 22nm node. Key words: Inspection, Mask, Reticle, Placement, Registration, Overlay,