An Artificial Neural Network-Based Hotspot Prediction Mechanism for NoCs

Hotspots are network on-chip (NoC) routers or modules in systems on-chip (SoCs) which occasionally receive packetized traffic at a rate higher than they can consume it. This adverse phenomenon greatly reduces the performance of an NoC, especially in the case of today’s widely-employed wormhole flow-control, as backpressure can cause the buffers of neighboring routers to quickly fill-up leading to a spatial spread in congestion that can cause the network to saturate. Even worse, such situations may lead to deadlocks. Thus, a hotspot prevention mechanism can be greatly beneficial, as it can potentially enable the interconnection system to adjust its behavior and prevent the rise of potential hotspots, subsequently sustaining NoC performance and efficiency. Unfortunately, hotspots cannot be known a-priori in NoCs used in general-purpose systems as application demands are not predetermined unlike in application-specific SoCs, making hotspot prediction and subsequently prevention difficult. In this paper we present an artificial neural network-based hotspot prediction mechanism that can be potentially used in tandem with a hotspot avoidance mechanism for handling an unforeseen hotspot formation efficiently. The network uses buffer utilization statistical data to dynamically monitor the interconnect fabric, and reactively predicts the location of an about to-be-formed hotspot, allowing enough time for the system to react to these potential hotspots. The neural network is trained using synthetic traffic models, and evaluated using both synthetic and real application traces. Results indicate that a relatively small neural network can predict hotspot formation with accuracy ranges between 76% to 92% when evaluated on two different mesh NoCs.

[1]  William J. Dally,et al.  Flit-reservation flow control , 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).

[2]  Pedro López,et al.  A family of mechanisms for congestion control in wormhole networks , 2005, IEEE Transactions on Parallel and Distributed Systems.

[3]  Ajith Abraham,et al.  An ensemble of neural networks for weather forecasting , 2004, Neural Computing & Applications.

[4]  Masoud Daneshtalab,et al.  NoC Hot Spot minimization Using AntNet Dynamic Routing Algorithm , 2006, IEEE 17th International Conference on Application-specific Systems, Architectures and Processors (ASAP'06).

[5]  David Blaauw,et al.  Vicis: A reliable network for unreliable silicon , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[6]  Hamid Sarbazi-Azad,et al.  An analytical model of fully-adaptive wormhole-routed k-ary n-cubes in the presence of hot spot traffic , 2000, Proceedings 14th International Parallel and Distributed Processing Symposium. IPDPS 2000.

[7]  Ran Ginosar,et al.  Access Regulation to Hot-Modules in Wormhole NoCs , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[8]  Anil K. Jain,et al.  Artificial Neural Networks: A Tutorial , 1996, Computer.

[9]  Declan Delaney,et al.  Multistep-ahead neural-network predictors for network traffic reduction in distributed interactive applications , 2007, TOMC.

[10]  Henry Hoffmann,et al.  Evaluation of the Raw microprocessor: an exposed-wire-delay architecture for ILP and streams , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

[11]  Axel Jantsch,et al.  Load distribution with the proximity congestion awareness in a network on chip , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[12]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[13]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[14]  José Duato,et al.  A new scalable and cost-effective congestion management strategy for lossless multistage interconnection networks , 2005, 11th International Symposium on High-Performance Computer Architecture.

[15]  L. Benini,et al.  Xpipes: a network-on-chip architecture for gigascale systems-on-chip , 2004, IEEE Circuits and Systems Magazine.

[16]  R. J. Thomas,et al.  On the number of training points needed for adequate training of feedforward neural networks , 1991, Proceedings of the First International Forum on Applications of Neural Networks to Power Systems.

[17]  Kees Goossens,et al.  AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.

[18]  Partha Pratim Pande,et al.  Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.

[19]  Ran Ginosar,et al.  QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..

[20]  Tobias Bjerregaard,et al.  A survey of research and practices of Network-on-chip , 2006, CSUR.

[21]  Ricardo H. C. Takahashi,et al.  A multi-objective optimization approach for training artificial neural networks , 2000, Proceedings. Vol.1. Sixth Brazilian Symposium on Neural Networks.

[22]  Sudhakar Yalamanchili,et al.  Adaptive routing protocols for hypercube interconnection networks , 1993, Computer.

[23]  Saurabh Dighe,et al.  An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[24]  S. Hashem,et al.  A novel approach for training neural networks for long-term prediction , 1999, IJCNN'99. International Joint Conference on Neural Networks. Proceedings (Cat. No.99CH36339).

[25]  William J. Dally Virtual-channel flow control , 1990, ISCA '90.

[26]  David Wentzlaff,et al.  Processor: A 64-Core SoC with Mesh Interconnect , 2010 .

[27]  Gordon B. Steven,et al.  Dynamic branch prediction using neural networks , 2001, Proceedings Euromicro Symposium on Digital Systems Design.

[28]  Derek L. Eager,et al.  A Novel Strategy for Controlling Hot Spot Congestion , 1989, ICPP.

[29]  Hamid Sarbazi-Azad,et al.  Analytical Modeling of Wormhole-Routed k-Ary n-Cubes in the Presence of Hot-Spot Traffic , 2001, IEEE Trans. Computers.