Challenges in line edge roughness metrology in directed self-assembly lithography: placement errors and cross-line correlations

Abstract. Directed self-assembly (DSA) lithography poses challenges in line edge roughness (LER)/line width roughness metrology due to its self-organized and pitch-based nature. To cope with these challenges, a characterization approach with metrics and/or updates of the older ones is required. To this end, we focus on two specific challenges of DSA line patterns: (a) the large correlations between the left and right edges of a line (line wiggling) and (b) the cross-line correlations, i.e., the resemblance of wiggling fluctuations of nearby lines. The first is quantified by the line center roughness whose low-frequency part is related to the local placement errors of device structures. For the second, we introduce the c-factor correlation function, which quantifies the strength of the correlations between lines versus their horizontal distance in pitches. The proposed characterization approach is first illustrated and explained in synthesized scanning electron microscope images with full control of their dimensional and roughness parameters; it is then applied to the analysis of line/space patterns obtained with the Liu–Nealey flow (post-Polymethyl methacrylate removal and pattern transfer), revealing the effects of pattern transfer on roughness and uniformity. Finally, we calculate the c-factor function of various next-generation lithography techniques and show their distinct footprint on the extent of cross-line correlations.

[1]  Roel Gronheid,et al.  Grazing-incidence small angle x-ray scattering studies of nanoscale polymer gratings , 2015, Advanced Lithography.

[2]  John S. Villarrubia,et al.  CD-SEM measurement line edge roughness test patterns for 193 nm lithography , 2003, SPIE Advanced Lithography.

[3]  Chris A. Mack Analytical expression for impact of linewidth roughness on critical dimension uniformity , 2014 .

[4]  E. Gogolides,et al.  Line edge roughness and critical dimension variation: Fractal characterization and comparison using model functions , 2004 .

[5]  Vassilios Constantoudis,et al.  Evaluation of methods for noise-free measurement of LER/LWR using synthesized CD-SEM images , 2013, Advanced Lithography.

[7]  Richard A. Lawson,et al.  Simulation study of the effect of molar mass dispersity on domain interfacial roughness in lamellae forming block copolymers for directed self-assembly , 2015, Nanotechnology.

[8]  Gregg M. Gallatin,et al.  Modeling Line Edge Roughness in Templated, Lamellar Block Copolymer Systems , 2012 .

[9]  Evangelos Gogolides,et al.  Characterization and modeling of line width roughness (LWR) , 2005, SPIE Advanced Lithography.

[10]  Juan J. de Pablo,et al.  Remediation of Line Edge Roughness in Chemical Nanopatterns by the Directed Assembly of Overlying Block Copolymer Films , 2010 .

[11]  Atsuko Yamaguchi,et al.  Metrology of LER: influence of line-edge roughness (LER) on transistor performance , 2004, SPIE Advanced Lithography.

[12]  G. Doerk,et al.  Bit-Patterned Magnetic Recording: Theory, Media Fabrication, and Recording Performance , 2015, IEEE Transactions on Magnetics.

[13]  Gian Francesco Lorusso,et al.  Line width roughness accuracy analysis during pattern transfer in self-aligned quadruple patterning process , 2016, SPIE Advanced Lithography.

[14]  Lieve Van Look,et al.  Defect reduction and defect stability in IMEC's 14nm half-pitch chemo-epitaxy DSA flow , 2014, Advanced Lithography.