A system-level solution for managing spatial temperature gradients in thinned 3D ICs

As conventional CMOS technology is approaching scaling limits, the shift in trend towards stacked 3D Integrated Circuits (3D IC) is gaining more importance. 3D ICs offer reduced power dissipation, higher integration density, heterogeneous stacking and reduced interconnect delays. In a 3D IC stack, all but the bottom tier are thinned down to enable through-silicon vias (TSV). However, the thinning of the substrate increases the lateral thermal resistance resulting in higher intra-layer temperature gradients potentially leading to performance degradation and even functional errors. In this work, we study the effect of thinning the substrate on temperature profile of various tiers in 3D ICs. Our simulation results show that the intra-layer temperature gradient can be as high as 57°C. Often, the conventional static solutions lead to highly inefficient design. To this end, we present a system-level situation-aware integrated scheme that performs opportunistic thread migration and dynamic voltage and frequency scaling (DVFS) to effectively manage thermal violations while increasing the system throughput relative to stand-alone schemes.

[1]  Luca Benini,et al.  Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.

[2]  Andrew B. Kahng When is 3D 2B? , 2010, IEEE Des. Test Comput..

[3]  J. Lau,et al.  Thermal management of 3D IC integration with TSV (through silicon via) , 2009, 2009 59th Electronic Components and Technology Conference.

[4]  Naehyuck Chang,et al.  Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

[5]  Kevin Skadron,et al.  Performance, energy, and thermal considerations for SMT and CMP architectures , 2005, 11th International Symposium on High-Performance Computer Architecture.

[6]  Margaret Martonosi,et al.  Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[7]  Meikang Qiu,et al.  Real-Time Constrained Task Scheduling in 3D Chip Multiprocessor to Reduce Peak Temperature , 2010, 2010 IEEE/IFIP International Conference on Embedded and Ubiquitous Computing.

[8]  Li Shang,et al.  Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Yuan Xie,et al.  Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.

[10]  Subarna Sinha,et al.  The road to 3D EDA tool readiness , 2009, 2009 Asia and South Pacific Design Automation Conference.

[11]  Yiyu Shi,et al.  Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially Variant Thermal Power , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.

[13]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[14]  Lei Jiang,et al.  Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[15]  Jun Yang,et al.  Thermal Management for 3D Processors via Task Scheduling , 2008, 2008 37th International Conference on Parallel Processing.

[16]  Kia Bazargan,et al.  Placement and routing in 3D integrated circuits , 2005, IEEE Design & Test of Computers.

[17]  Greg Link,et al.  Thermally robust clocking schemes for 3D integrated circuits , 2007 .

[18]  Margaret Martonosi,et al.  Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[19]  Yan Zhang,et al.  Thermal-driven multilevel routing for 3D ICs , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[20]  Miodrag Potkonjak,et al.  MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.

[21]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[22]  Sandip Kundu,et al.  Functional test pattern generation for maximizing temperature in 3D IC chip stack , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[23]  Kevin Skadron,et al.  HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[24]  Thomas F. Wenisch,et al.  PowerNap: eliminating server idle power , 2009, ASPLOS.

[25]  Yusuf Leblebici,et al.  Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[26]  Philip G. Emma,et al.  Interconnects in the Third Dimension: Design Challenges for 3D ICs , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[27]  Qiang Zhou,et al.  Integrating dynamic thermal via planning with 3D floorplanning algorithm , 2006, ISPD '06.

[28]  Israel Koren,et al.  Performance Per Watt Benefits of Dynamic Core Morphing in Asymmetric Multicores , 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.