Frame-Based Dynamic Voltage and Frequency Scaling for an MPEG Player

This paper describes a dynamic voltage and frequency scaling (DVFS) technique for MPEG decoding to reduce the energy consumption while maintaining a quality of service (QoS) constraint. The computational workload for an incoming frame is predicted by using a frame-based history so that the processor voltage and frequency can be scaled to provide the exact amount of computing power needed to decode the frame. More precisely, the required decoding time for each frame is separated into two parts: a frame-dependent (FD) part and a frame-independent (FI) part. The FD part varies greatly according to the type of the incoming frame whereas the FI part remains constant regardless of the frame type. Separation of the FI part from the overall decoding sequence provides two key benefits depending on the hardware platform: better compensation of the error due to workload prediction and higher level of energy saving when given a QoS degradation level. The proposed DVFS scheme has been implemented on two platforms, a low performance StrongArm-1110-based evaluation board from Intel and a high performance XScale-based testbed designed at USC. In the StrongArm-1110-based system, the FI part is used to compensate for the prediction error that may occur during the FD part, whereas in the XScale-based system, the FI part is used to reduce energy consumption by employing the lowest CPU frequency during the corresponding time intervals. Detailed current measurements in these two platforms demonstrate larger than 87 % and 80 % CPU energy saving while maintaining a user-provided frame rate, respectively. Index Terms — Dynamic voltage and frequency scaling, MPEG decoding, workload decomposition.

[1]  Philip Levis,et al.  Policies for dynamic clock scheduling , 2000, OSDI.

[2]  Scott Shenker,et al.  Scheduling for reduced CPU energy , 1994, OSDI '94.

[3]  Dongkun Shin,et al.  Low-energy intra-task voltage scheduling using static timing analysis , 2001, DAC '01.

[4]  Didier J. Le Gall,et al.  The MPEG video compression standard , 1991, Compcon.

[5]  Chansu Yu,et al.  Dynamic voltage scaling on MPEG decoding , 2001, Proceedings. Eighth International Conference on Parallel and Distributed Systems. ICPADS 2001.

[6]  Hiroto Yasuura,et al.  Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[7]  Thomas D. Burd,et al.  The simulation and evaluation of dynamic voltage scaling algorithms , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[8]  Hal Wasserman,et al.  Comparing algorithm for dynamic speed-setting of a low-power CPU , 1995, MobiCom '95.

[9]  John Watkinson MPEG video compression , 2004 .

[10]  Seongsoo Lee,et al.  Run-time power control scheme using software feedback loop for low-power real-time applications , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

[11]  Ketan Mayer-Patel,et al.  Performance of a software MPEG video decoder , 1993, MULTIMEDIA '93.

[12]  Larry L. Peterson,et al.  Predicting MPEG execution times , 1998, SIGMETRICS '98/PERFORMANCE '98.

[13]  Luca Benini,et al.  Dynamic frequency scaling with buffer insertion for mixed workloads , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[14]  Anantha Chandrakasan,et al.  Data driven signal processing: an approach for energy efficient computing , 1996, ISLPED '96.

[15]  Krisztián Flautner,et al.  Vertigo: Automatic Performance-Setting for Linux , 2002, OSDI.

[16]  M. Horowitz,et al.  Low-power digital design , 1994, Proceedings of 1994 IEEE Symposium on Low Power Electronics.

[17]  Kevin Skadron,et al.  Reducing multimedia decode power using feedback control , 2003, Proceedings 21st International Conference on Computer Design.

[18]  Luca Benini,et al.  Contents provider-assisted dynamic voltage scaling for low energy multimedia applications , 2002, ISLPED '02.

[19]  Soonhoi Ha,et al.  Dynamic voltage scheduling technique for low-power multimedia applications using buffers , 2001, ISLPED '01.

[20]  R.W. Brodersen,et al.  A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.

[21]  F. Frances Yao,et al.  A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.

[22]  Johan Pouwelse,et al.  POWER-AWARE VIDEO DECODING , 2005 .