Clock Tree Resynthesis for Multi-Corner Multi-Mode Timing Closure

With aggressive technology scaling and complex design scenarios, timing closure has become a challenging and tedious job for the designers. Timing violations persist for multi-corner, multi-mode designs in the deep-routing stage although careful optimization has been applied at every step after synthesis. Useful clock skew optimization has been suggested as an effective way to achieve design convergence and timing closure. Existing approaches on useful skew optimization: 1) calculate clock skew at sequential elements before the actual tree is synthesized and 2) do not account for the implementability of the calculated schedules at the later stages of design cycle. In this paper, we propose a novel clock tree resynthesis methodology which is based on a skew scheduling engine which works on an already built clock tree. The output of the engine is a set of positive and negative offsets which translate to the delay and accelerations, respectively in clock arrival at the clock tree pins. We demonstrate the effectiveness of the offsets at the output pins of the leaf-level clock drivers in comparison to the traditional clock scheduling in the clock pins of the flip-flops due to the better implementability and lesser area overhead and present an algorithm to accurately realize these offsets in the clock tree. Experimental results on large-scale industrial designs demonstrate that our clock tree resynthesis methodology achieves respectively 57%, 12%, and 42% average improvement in total negative slack, worst negative slack, and failure-end-point with an average overhead of 26% in clock tree area. We also experimentally study the impact of on-chip-variation-derates on our approach in terms of the timing metric improvement and clock tree overhead.

[1]  Jiang Hu,et al.  Delay-optimal simultaneous technology mapping and placement with applications to timing optimization , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[2]  Rakesh Chadha,et al.  Static Timing Analysis for Nanometer Designs: A Practical Approach , 2009 .

[3]  Ganesh Gopalakrishnan,et al.  Performance analysis and optimization of asynchronous circuits , 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[4]  V. Nawale,et al.  Optimal Useful Clock Skew Scheduling In the Presence of Variations Using Robust ILP Formulations , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[5]  Naotaka Maeda,et al.  Post-layout optimization for deep submicron design , 1996, DAC '96.

[6]  Baris Taskin,et al.  Post-CTS clock skew scheduling with limited delay buffering , 2009, 2009 52nd IEEE International Midwest Symposium on Circuits and Systems.

[7]  Yongqiang Lyu,et al.  Useful clock skew optimization under a multi-corner multi-mode design framework , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[8]  Sachin S. Sapatnekar,et al.  A graph-theoretic approach to clock skew optimization , 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.

[9]  Marios C. Papaefthymiou,et al.  Maximizing performance by retiming and clock skew scheduling , 1999, DAC '99.

[10]  Eby G. Friedman,et al.  Clock skew scheduling for improved reliability via quadratic programming , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[11]  D. Boning,et al.  Technology scaling impact of variation on clock skew and interconnect delay , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).

[12]  David Z. Pan,et al.  Clock Tree Resynthesis for Multi-Corner Multi-Mode Timing Closure , 2015, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  Jeng-Liang Tsai,et al.  Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Dongjin Lee,et al.  Obstacle-Aware Clock-Tree Shaping During Placement , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Amit Chowdhary,et al.  Timing driven force directed placement with physical net constraints , 2003, ISPD '03.

[16]  Sung-Woo Hur,et al.  Timing driven maze routing , 1999, ISPD '99.

[17]  Yao-Wen Chang,et al.  ECO timing optimization using spare cells , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[18]  Edwin Hsing-Mean Sha,et al.  Retiming and clock skew for synchronous systems , 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.

[19]  Ieee Circuits,et al.  IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems information for authors , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  Seda Ogrenci Memik,et al.  A revisit to the primal-dual based clock skew scheduling algorithm , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[21]  Vladimir Stojanovic,et al.  Digital System Clocking: High-Performance and Low-Power Aspects , 2003 .

[22]  K. Sato,et al.  Post-layout optimization for deep submicron design , 1996, 33rd Design Automation Conference Proceedings, 1996.

[23]  David Z. Pan,et al.  Robust chip-level clock tree synthesis for SOC designs , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[24]  Andrew B. Kahng,et al.  Zero-skew clock routing trees with minimum wirelength , 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

[25]  H. Wong,et al.  CMOS scaling into the nanometer regime , 1997, Proc. IEEE.

[26]  Ren-Song Tsay,et al.  An exact zero-skew clock routing algorithm , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[27]  Yici Cai,et al.  Clock-Tree Aware Placement Based on Dynamic Clock-Tree Building , 2007, 2007 IEEE International Symposium on Circuits and Systems.

[28]  Sachin S. Sapatnekar,et al.  Clock Skew Optimization , 1999 .