Security and Vulnerability Implications of 3D ICs

Physical limit of transistor miniaturization has driven chip design into the third dimension. 3D integration technology emerges as a viable option to improve chip performance and increase device density in a direction orthogonal to costly device scaling. As 3D integration is becoming a promising technology for next-generation chip design, recent years have seen a huge proliferation of research literature exploiting it from a security perspective. This paper presents a survey on the current state of 3D integration technology from a security perspective and summarizes its security opportunities and challenges. We report current research work on 3D integration based security in three major applications: supply chain attack prevention, side-channel attack mitigation, and trustworthy computing system design. The security advantages and opportunities of 3D integration in these security applications are highlighted. Besides, the paper discusses new vulnerabilities risen by 3D integration that require researchers' attention. Based on the survey result, we summarize the distinct characteristics of 3D ICs and investigate their impacts on security-aware 3D IC designs.

[1]  Cheol Hong Kim,et al.  Adaptive Dynamic Frequency Scaling for Thermal-Aware 3D Multi-core Processors , 2012, ICCSA.

[2]  Jason Cong,et al.  Thermal via planning for 3-D ICs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[3]  Gabriel H. Loh,et al.  3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.

[4]  Eby G. Friedman,et al.  Power Noise in TSV-Based 3-D Integrated Circuits , 2013, IEEE Journal of Solid-State Circuits.

[5]  Erik Jan Marinissen Challenges and emerging solutions in testing TSV-based 2 1 over 2D- and 3D-stacked ICs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[6]  Jian Xu,et al.  Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.

[7]  Sung Kyu Lim,et al.  Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[8]  Xin Zhao,et al.  Low-Power and Reliable Clock Network Design for Through-Silicon Via (TSV) Based 3D ICs , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[9]  Mark Mohammad Tehranipoor,et al.  Efficient and secure split manufacturing via obfuscated built-in self-authentication , 2015, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[10]  Farinaz Koushanfar,et al.  A Survey of Hardware Trojan Taxonomy and Detection , 2010, IEEE Design & Test of Computers.

[11]  Lawrence T. Pileggi,et al.  Detecting reliability attacks during split fabrication using test-only BEOL stack , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[12]  Xin Liu,et al.  TSV-based PUF circuit for 3DIC sensor nodes in IoT applications , 2015, 2015 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC).

[13]  Francis Olivier,et al.  Electromagnetic Analysis: Concrete Results , 2001, CHES.

[14]  David Atienza,et al.  Energy-Efficient Multiobjective Thermal Control for Liquid-Cooled 3-D Stacked Architectures , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  G. Edward Suh,et al.  AEGIS: architecture for tamper-evident and tamper-resistant processing , 2003, ICS.

[16]  Erik Jan Marinissen,et al.  Test Cost Analysis for 3D Die-to-Wafer Stacking , 2010, 2010 19th IEEE Asian Test Symposium.

[17]  Miodrag Potkonjak,et al.  Nano-PPUF: A Memristor-Based Security Primitive , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[18]  Michael Bilzor 3D Execution Monitor (3D-EM): Using 3D Circuits to Detect Hardware Malicious Inclusions in General Purpose Processors , 2011 .

[19]  Jie Meng,et al.  Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints , 2012, DAC Design Automation Conference 2012.

[20]  Ryan Kastner,et al.  Hardware trust implications of 3-D integration , 2010, WESS '10.

[21]  Clive King,et al.  CSI Kernel: Finding a Needle in a Multiterabyte Haystack , 2012, IEEE Software.

[22]  Kevin Skadron,et al.  Architecture implications of pads as a scarce resource , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[23]  Mark Mohammad Tehranipoor,et al.  New design strategy for improving hardware Trojan detection and reducing Trojan activation time , 2009, 2009 IEEE International Workshop on Hardware-Oriented Security and Trust.

[24]  Ankur Srivastava,et al.  TSV Replacement and Shield Insertion for TSV–TSV Coupling Reduction in 3-D Global Placement , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[25]  Jae-Seok Yang,et al.  Robust Clock Tree Synthesis with timing yield optimization for 3D-ICs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[26]  Eli Biham,et al.  Differential Fault Analysis of Secret Key Cryptosystems , 1997, CRYPTO.

[27]  Adi Shamir,et al.  Efficient Cache Attacks on AES, and Countermeasures , 2010, Journal of Cryptology.

[28]  Sachin S. Sapatnekar,et al.  Thermal via placement in 3D ICs , 2005, ISPD '05.

[29]  Jennifer Rexford,et al.  NoHype: virtualized cloud infrastructure without the virtualization , 2010, ISCA.

[30]  Xiaobo Sharon Hu,et al.  Enhancing multicore reliability through wear compensation in online assignment and scheduling , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[31]  TingTing Hwang,et al.  TSV Redundancy: Architecture and Design Issues in 3-D IC , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[32]  Stéphane Moreau,et al.  Reliability of TSV interconnects: Electromigration, thermal cycling, and impact on above metal level dielectric , 2013, Microelectron. Reliab..

[33]  Jeyavijayan Rajendran,et al.  Is split manufacturing secure? , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[34]  Vempati Srinivasa Rao,et al.  TSV interposer fabrication for 3D IC packaging , 2009, 2009 11th Electronics Packaging Technology Conference.

[35]  Giovanni De Micheli,et al.  Performance analysis of 3-D monolithic integrated circuits , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).

[36]  Ankur Srivastava,et al.  Design Space Exploration of 3D CPUs and Micro-Fluidic Heatsinks With Thermo-Electrical-Physical Co-Optimization , 2015 .

[37]  Adrian Perrig,et al.  TrustVisor: Efficient TCB Reduction and Attestation , 2010, 2010 IEEE Symposium on Security and Privacy.

[38]  Ramesh Karri,et al.  A Primer on Hardware Security: Models, Methods, and Metrics , 2014, Proceedings of the IEEE.

[39]  Christophe Clavier,et al.  Correlation Power Analysis with a Leakage Model , 2004, CHES.

[40]  Peter Gadfort,et al.  Split-fabrication obfuscation: Metrics and techniques , 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

[41]  Sung Kyu Lim,et al.  Silicon Effect-Aware Full-Chip Extraction and Mitigation of TSV-to-TSV Coupling , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[42]  Richard J. Lipton,et al.  On the Importance of Eliminating Errors in Cryptographic Computations , 2015, Journal of Cryptology.

[43]  Junho Lee,et al.  Modeling and Analysis of Through-Silicon Via (TSV) Noise Coupling and Suppression Using a Guard Ring , 2011, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[44]  Siddharth Garg,et al.  Securing Computer Hardware Using 3D Integrated Circuit (IC) Technology and Split Manufacturing for Obfuscation , 2013, USENIX Security Symposium.

[45]  Udo Steinberg,et al.  NOVA: a microhypervisor-based secure virtualization architecture , 2010, EuroSys '10.

[46]  Sung Kyu Lim,et al.  On enhancing power benefits in 3D ICs: Block folding and bonding styles perspective , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[47]  Johannes Winter,et al.  A Hijacker's Guide to the LPC Bus , 2011, EuroPKI.

[48]  Taewhan Kim,et al.  Clock Tree synthesis for TSV-based 3D IC designs , 2011, TODE.

[49]  Ryan Kastner,et al.  Hardware assistance for trustworthy systems through 3-D integration , 2010, ACSAC '10.

[50]  Ankur Srivastava,et al.  Temperature Tracking: Toward Robust Run-Time Detection of Hardware Trojans , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[51]  Jonathan M. McCune,et al.  OASIS: on achieving a sanctuary for integrity and secrecy on untrusted platforms , 2013, CCS.

[52]  Qiang Xu,et al.  On effective TSV repair for 3D-stacked ICs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[53]  Mark Mohammad Tehranipoor,et al.  Secure Split-Test for preventing IC piracy by untrusted foundry and assembly , 2013, 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).

[54]  Jun Yang,et al.  Thermal Management for 3D Processors via Task Scheduling , 2008, 2008 37th International Conference on Parallel Processing.

[55]  Chip-Hong Chang,et al.  Exploiting Process Variations and Programming Sensitivity of Phase Change Memory for Reconfigurable Physical Unclonable Functions , 2014, IEEE Transactions on Information Forensics and Security.

[56]  Ankur Srivastava,et al.  3D Integration: New opportunities in defense against cache-timing side-channel attacks , 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

[57]  Soha Hassoun,et al.  Through-Silicon Via (TSV)-induced noise characterization and noise mitigation using coaxial TSVs , 2009, 2009 IEEE International Conference on 3D System Integration.

[58]  Pankaj Rohatgi,et al.  Introduction to differential power analysis , 2011, Journal of Cryptographic Engineering.

[59]  Ryan Kastner,et al.  A 3-D Split Manufacturing Approach to Trustworthy System Development , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[60]  Mark Mohammad Tehranipoor,et al.  BISA: Built-in self-authentication for preventing hardware Trojan insertion , 2013, 2013 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

[61]  Ankur Srivastava,et al.  Electromigration-aware Clock Tree Synthesis for TSV-based 3D-ICs , 2015, ACM Great Lakes Symposium on VLSI.

[62]  Tao Li,et al.  Microarchitecture soft error vulnerability characterization and mitigation under 3D integration technology , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

[63]  Dirk Herrmann,et al.  Three Dimensional Integrated Circuit Design , 2016 .

[64]  Swarup Bhunia,et al.  Improving IC Security Against Trojan Attacks Through Integration of Security Monitors , 2012, IEEE Design & Test of Computers.

[65]  Jason Cong,et al.  An Analytical Placement Framework for 3-D ICs and Its Extension on Thermal Awareness , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[66]  Jie Li,et al.  At-speed delay characterization for IC authentication and Trojan Horse detection , 2008, 2008 IEEE International Workshop on Hardware-Oriented Security and Trust.

[67]  Ankur Srivastava,et al.  High performance 3D stacked DRAM processor architectures with micro-fluidic cooling , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).

[68]  Dengguo Feng,et al.  Side-Channel Attacks: Ten Years After Its Publication and the Impacts on Cryptographic Module Security Testing , 2005, IACR Cryptol. ePrint Arch..

[69]  Xi Liu,et al.  Full-chip through-silicon-via interfacial crack analysis and optimization for 3D IC , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[70]  Jiwoo Pak,et al.  Modeling of electromigration in through-silicon-via based 3D IC , 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).

[71]  Lawrence T. Pileggi,et al.  Building trusted ICs using split fabrication , 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

[72]  Daniel J. Bernstein,et al.  Cache-timing attacks on AES , 2005 .

[73]  Rajeev Balasubramonian,et al.  Leveraging 3D Technology for Improved Reliability , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[74]  Siva Sai Yerubandi,et al.  Differential Power Analysis , 2002 .

[75]  Franz Franchetti,et al.  Efficient and secure intellectual property (IP) design with split fabrication , 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

[76]  Partha Pratim Pande,et al.  Performance Evaluation for Three-Dimensional Networks-On-Chip , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).

[77]  Yuan Xie,et al.  Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.

[78]  David Brumley,et al.  Remote timing attacks are practical , 2003, Comput. Networks.

[79]  Igor L. Markov,et al.  SuperPUF: Integrating heterogeneous Physically Unclonable Functions , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[80]  Glenn H. Chapman,et al.  3D heterogeneous sensor system on a chip for defense and security applications , 2004, SPIE Defense + Commercial Sensing.

[81]  Ryan Kastner,et al.  A Qualitative Security Analysis of a New Class of 3-D Integrated Crypto Co-processors , 2012, Cryptography and Security.

[82]  Pingqiang Zhou Interconnect Design Techniques for Multicore and 3D Integrated Circuits , 2012 .

[83]  TingTing Hwang,et al.  TSV redundancy: Architecture and design issues in 3D IC , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[84]  Sergei Skorobogatov,et al.  Breakthrough Silicon Scanning Discovers Backdoor in Military Chip , 2012, CHES.

[85]  Xiaoxia Wu,et al.  Hybrid cache architecture with disparate memory technologies , 2009, ISCA '09.

[86]  Nikil D. Dutt,et al.  VAWOM: Temperature and process variation aware WearOut Management in 3D multicore architecture , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[87]  Erik Jan Marinissen,et al.  Vesuvius-3D: A 3D-DfT demonstrator , 2014, 2014 International Test Conference.

[88]  Chaitali Chakrabarti,et al.  Exploiting resistive cross-point array for compact design of physical unclonable function , 2015, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

[89]  Pingqiang Zhou,et al.  Optimizing Decoupling Capacitors in 3D Circuits for Power Grid Integrity , 2009, IEEE Design & Test of Computers.

[90]  Yu Cao,et al.  Cross-Layer Modeling and Simulation of Circuit Reliability , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[91]  Sanjukta Bhanja,et al.  MRAM PUF: A Novel Geometry Based Magnetic PUF With Integrated CMOS , 2015, IEEE Transactions on Nanotechnology.

[92]  Jian-Qiang Lu,et al.  3-D Hyperintegration and Packaging Technologies for Micro-Nano Systems , 2009, Proceedings of the IEEE.

[93]  Mark Mohammad Tehranipoor,et al.  RON: An on-chip ring oscillator network for hardware Trojan detection , 2011, 2011 Design, Automation & Test in Europe.

[94]  Kaustav Banerjee,et al.  Introspective 3D chips , 2006, ASPLOS XII.

[95]  Ankur Srivastava,et al.  Security-Aware Design Flow for 2.5D IC Technology , 2015, TrustED@CCS.

[96]  Mark Mohammad Tehranipoor,et al.  Counterfeit Integrated Circuits: A Rising Threat in the Global Semiconductor Supply Chain , 2014, Proceedings of the IEEE.

[97]  Dick James,et al.  The State-of-the-Art in IC Reverse Engineering , 2009, CHES.

[98]  Paul C. Kocher,et al.  Timing Attacks on Implementations of Diffie-Hellman, RSA, DSS, and Other Systems , 1996, CRYPTO.

[99]  Ankur Srivastava,et al.  Geometric approach to chip-scale TSV shield placement for the reduction of TSV coupling in 3D-ICs , 2013, GLSVLSI '13.

[100]  Qing Wu,et al.  Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[101]  Ankur Srivastava,et al.  On application of one-class SVM to reverse engineering-based hardware Trojan detection , 2014, Fifteenth International Symposium on Quality Electronic Design.

[102]  Tao Zhang,et al.  Thermomechanical stress-aware management for 3D IC designs , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[103]  Dhireesha Kudithipudi,et al.  RRAM Motifs for Mitigating Differential Power Analysis Attacks (DPA) , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[104]  Ankur Srivastava,et al.  Gated low-power clock tree synthesis for 3D-ICs , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[105]  Ankur Srivastava,et al.  Unlocking the true potential of 3D CPUs with micro-fluidic cooling , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[106]  Evan R. Sparks A Security Assessment of Trusted Platform Modules Computer Science Technical Report TR2007-597 , 2007 .

[107]  Johannes Winter,et al.  Trusted computing building blocks for embedded linux-based ARM trustzone platforms , 2008, STC '08.

[108]  M. Puech,et al.  Fabrication of 3D packaging TSV using DRIE , 2008, 2008 Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS.

[109]  Alessandro Barenghi,et al.  Fault Injection Attacks on Cryptographic Devices: Theory, Practice, and Countermeasures , 2012, Proceedings of the IEEE.

[110]  Jean-Jacques Quisquater,et al.  ElectroMagnetic Analysis (EMA): Measures and Counter-Measures for Smart Cards , 2001, E-smart.