MAGIC: Malicious Aging in Circuits/Cores

The performance of an IC degrades over its lifetime, ultimately resulting in IC failure. In this article, we present a hardware attack (called MAGIC) to maliciously accelerate NBTI aging effects in cores. In this attack, we identify the input patterns that maliciously age the pipestages of a core. We then craft a program that generates these patterns at the inputs of the targeted pipestage. We demonstrate the MAGIC-based attack on the OpenSPARC processor. Executing this program dramatically accelerates the aging process and degrades the processor’s performance by 10.92% in 1 month, bypassing existing aging mitigation and timing-error correction schemes. We also present two low-cost techniques to thwart the proposed attack.

[1]  Narayanan Vijaykrishnan,et al.  Exploiting Heterogeneity for Energy Efficiency in Chip Multiprocessors , 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[2]  Todd M. Austin,et al.  DIVA: a reliable substrate for deep submicron microarchitecture design , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.

[3]  S. Mahapatra,et al.  On the generation and recovery of interface traps in MOSFETs subjected to NBTI, FN, and HCI stress , 2006, IEEE Transactions on Electron Devices.

[4]  Yu Cao,et al.  Predictive Modeling of the NBTI Effect for Reliable Design , 2006, IEEE Custom Integrated Circuits Conference 2006.

[5]  William Fornaciari,et al.  NBTI mitigation in microprocessor designs , 2012, GLSVLSI '12.

[6]  David Blaauw,et al.  Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation , 2003, MICRO.

[7]  Taewhan Kim,et al.  A fine-grained technique of NBTI-aware voltage scaling and body biasing for standard cell based designs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[8]  Robert P. Dick,et al.  Static NBTI Reduction Using Internal Node Control , 2012, TODE.

[9]  Josep Torrellas,et al.  Facelift: Hiding and slowing down aging in multicores , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

[10]  David Z. Pan,et al.  Reliability Aware Gate Sizing Combating NBTI and Oxide Breakdown , 2014, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems.

[11]  Eric Rotenberg,et al.  AR-SMT: a microarchitectural approach to fault tolerance in microprocessors , 1999, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352).

[12]  David Z. Pan,et al.  Controlling NBTI degradation during static burn-in testing , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[13]  D. Hendrick,et al.  Introduction , 1998, Thorax.

[14]  Scott A. Mahlke,et al.  Data Access Partitioning for Fine-grain Parallelism on Multicore Architectures , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[15]  Fan Yang,et al.  Statistical reliability analysis under process variation and aging effects , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[16]  Bao Liu,et al.  Testing, diagnosis and repair methods for NBTI-induced SRAM faults , 2014, 2014 IEEE International Conference on IC Design & Technology.

[17]  Sorin Cotofana,et al.  Statistical reliability analysis of NBTI impact on FinFET SRAMs and mitigation technique using independent-gate devices , 2012, 2012 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

[18]  Hamid Mahmoodi,et al.  Analysis of reliability of flip-flops under transistor aging effects in nano-scale CMOS technology , 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

[19]  T. Grasser,et al.  The time dependent defect spectroscopy (TDDS) for the characterization of the bias temperature instability , 2010, 2010 IEEE International Reliability Physics Symposium.

[20]  Francky Catthoor,et al.  NBTI Monitoring and Design for Reliability in Nanoscale Circuits , 2011, 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems.

[21]  Miodrag Potkonjak,et al.  Integrated circuit security techniques using variable supply voltage , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[22]  G. Wolrich,et al.  A high performance floating point coprocessor , 1984, IEEE Journal of Solid-State Circuits.

[23]  Chang-Chih Chen,et al.  Extraction of threshold voltage degradation modeling due to Negative Bias Temperature Instability in circuits with I/O measurements , 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).

[24]  Yu Cao,et al.  Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[25]  Robert P. Dick,et al.  Minimization of NBTI performance degradation using internal node control , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[26]  Jeyavijayan Rajendran,et al.  Reconciling the IC test and security dichotomy , 2013, 2013 18th IEEE European Test Symposium (ETS).

[27]  Massoud Pedram,et al.  NBTI-aware flip-flop characterization and design , 2008, GLSVLSI '08.

[28]  Jaume Abella,et al.  Penelope: The NBTI-Aware Processor , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[29]  V. Reddy,et al.  A comprehensive framework for predictive modeling of negative bias temperature instability , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.

[30]  R. Rodriguez,et al.  Modeling and experimental verification of the effect of gate oxide breakdown on CMOS inverters , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..

[31]  Mehdi Baradaran Tahoori,et al.  Reducing NBTI-induced processor wearout by exploiting the timing slack of instructions , 2012, CODES+ISSS.

[32]  Dieter K. Schroder,et al.  Negative bias temperature instability: What do we understand? , 2007, Microelectron. Reliab..

[33]  J. Torrellas,et al.  VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.

[34]  S. Mahapatra,et al.  Role of anode hole injection and valence band hole tunneling on interface trap generation during hot carrier injection stress , 2006, IEEE Electron Device Letters.

[35]  Jyothi Velamala,et al.  Compact Modeling of BTI for Circuit Reliability Analysis , 2015 .

[36]  Paolo A. Aseron,et al.  A 45 nm Resilient Microprocessor Core for Dynamic Variation Tolerance , 2011, IEEE Journal of Solid-State Circuits.

[37]  Liesbet Van der Perre,et al.  Degradation analysis of datapath logic subblocks under NBTI aging in FinFET technology , 2014, Fifteenth International Symposium on Quality Electronic Design.

[38]  Ming Zhang,et al.  Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[39]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[40]  Yu Cao,et al.  The Impact of NBTI Effect on Combinational Circuit: Modeling, Simulation, and Analysis , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[41]  H. Kufluoglu,et al.  A Generalized Reaction–Diffusion Model With Explicit H– $\hbox{H}_{2}$ Dynamics for Negative-Bias Temperature-Instability (NBTI) Degradation , 2007, IEEE Transactions on Electron Devices.

[42]  Dick James,et al.  The state-of-the-art in semiconductor reverse engineering , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[43]  D. Varghese,et al.  A comprehensive model for PMOS NBTI degradation: Recent progress , 2007, Microelectron. Reliab..

[44]  Chita R. Das,et al.  Selective checkpointing and rollbacks in multithreaded distributed systems , 2001, Proceedings 21st International Conference on Distributed Computing Systems.

[45]  Teong-San Yeoh,et al.  Influence of MOS transistor gate oxide breakdown on circuit performance , 1998, ICSE'98. 1998 IEEE International Conference on Semiconductor Electronics. Proceedings (Cat. No.98EX187).