FirmLeak: A Framework for Efficient and Accurate Runtime Estimation of Leakage Power by Firmware

Separating the dynamic power and leakage power components from total microprocessor power can enable new optimizations for cloud computing. To this end, we introduce FirmLeak, a new framework that enables accurate, real-time estimation of microprocessor leakage power by system software. FirmLeak accounts for power-gating regions, per-core voltage domains, and manufacturing variation. We present an experimental evaluation of FirmLeak on a POWER7+ microprocessor for a range of hardware parts, voltages and temperatures. We discuss how this can be used in two applications to manage power by 1) improving billing of energy for cloud computing and 2) optimizing fan power consumption.

[1]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[2]  Naehyuck Chang,et al.  Energy-optimal dynamic thermal management for green computing , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[3]  Francisco J. Cazorla,et al.  Energy-Aware Accounting and Billing in Large-Scale Computing Facilities , 2011, IEEE Micro.

[4]  Hiroshi Nakamura,et al.  Design and evaluation of fine-grained power-gating for embedded microprocessors , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[5]  Liang Yang,et al.  Godson-3B1500: A 32nm 1.35GHz 40W 172.8GFLOPS 8-core processor , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[6]  Bishop Brock,et al.  Accurate Fine-Grained Processor Power Proxies , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

[7]  Dawei Huang,et al.  3.6GHz 16-core SPARC SoC processor in 28nm , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[8]  Pradip Bose,et al.  Microarchitectural techniques for power gating of execution units , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[9]  Frank Bellosa,et al.  The benefits of event: driven energy accounting in power-sensitive systems , 2000, ACM SIGOPS European Workshop.

[10]  Jian Li,et al.  TAPO: Thermal-aware power optimization techniques for servers and data centers , 2011, 2011 International Green Computing Conference and Workshops.

[11]  Christopher Gonzalez,et al.  5.1 POWER8TM: A 12-core server-class processor in 22nm SOI with 7.6Tb/s off-chip bandwidth , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[12]  Victor V. Zyuban,et al.  Efficient PVT independent abstraction of large IP blocks for hierarchical power analysis , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[13]  Nagu R. Dhanwada,et al.  Leakage Power Contributor Modeling , 2012, IEEE Design & Test of Computers.

[14]  Wen-Tsong Shiue Leakage power estimation and minimization in VLSI circuits , 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).

[15]  Dawei Huang,et al.  A 3.6 GHz 16-Core SPARC SoC Processor in 28 nm , 2014, IEEE Journal of Solid-State Circuits.

[16]  Saibal Mukhopadhyay,et al.  Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.

[17]  Manish Marwah,et al.  Optimal Fan Speed Control for Thermal Management of Servers , 2009 .

[18]  Bishop Brock,et al.  Introducing the Adaptive Energy Management Features of the Power7 Chip , 2011, IEEE Micro.

[19]  Feng Zhao,et al.  Energy aware consolidation for cloud computing , 2008, CLUSTER 2008.