Flexible Wireless Receivers: On-Chip Testing Techniques and Design for Testability
暂无分享,去创建一个
[1] Christer Svensson. Forty years of feature-size predictions (1962-2002) , 2003 .
[2] Minjae Lee,et al. An 800-MHz–6-GHz Software-Defined Wireless Receiver in 90-nm CMOS , 2006, IEEE Journal of Solid-State Circuits.
[3] Glenn H. Chapman,et al. Defect and Fault Tolerance in VLSI Systems , 2003 .
[4] Behzad Razavi. Architectures and circuits for RF CMOS receivers , 1998, Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).
[5] Sule Ozev,et al. Delayed-RF based test development for FM transceivers using signature analysis , 2004, 2004 International Conferce on Test.
[6] Mohammed Ismail,et al. Radio Design in Nanometer Technologies , 2007 .
[7] Nanju Na,et al. Cost-competitive RF wafer test methodology for high volume production of complex RF ICs , 2008, 2008 58th Electronic Components and Technology Conference.
[8] F. Jonsson,et al. RF detector for on-chip amplitude measurements , 2004 .
[9] Behzad Razavi,et al. RF Microelectronics , 1997 .
[10] Michael S. Heutmaker,et al. An architecture for self-test of a wireless communication system using sampled IQ modulation and boundary scan , 1999, IEEE Commun. Mag..
[11] K. Soumyanath,et al. Multi-Band (1-6GHz), Sampled, Sliding-IF Receiver with Discrete-Time Filtering in 90nm Digital CMOS Process , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[12] Jerzy J. Dabrowski,et al. Techniques for sensitizing RF path under SER test , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[13] Sani R. Nassif,et al. Characterizing Process Variation in Nanometer CMOS , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[14] S. Bhattacharya,et al. Production test technique for measuring BER of ultra-wideband (UWB) devices , 2005, IEEE Transactions on Microwave Theory and Techniques.
[15] Lars W. Liebmann,et al. Resolution enhancement techniques in optical lithography: It's not just a mask problem , 2001, Photomask Japan.
[16] The Zero Bias Schottky Detector Diode Application Note 969 , .
[17] A. Chatterjee,et al. Alternate loop-back diagnostic tests for wafer-level diagnosis of modern wireless transceivers using spectral signatures , 2006, 24th IEEE VLSI Test Symposium.
[18] R. Keyes. The effect of randomness in the distribution of impurity atoms on FET thresholds , 1975 .
[19] A. Alvandpour,et al. A 72.2Mbit/S LC-based power amplifier in 65nm CMOS for 2.4Ghz 802.11n WLAN , 2008, 2008 15th International Conference on Mixed Design of Integrated Circuits and Systems.
[20] Jeffrey K. Hollingsworth,et al. Instrumentation and Measurement , 1998, 2022 International Symposium on Electronics and Telecommunications (ISETC).
[21] M. Soma. Challenges and approaches in mixed signal RF testing , 1997, Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334).
[22] A.A. Abidi,et al. The Path to the Software-Defined Radio Receiver , 2007, IEEE Journal of Solid-State Circuits.
[23] L. Serrano,et al. An IP2 Improvement Technique for Zero-IF Down-Converters , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[24] Qijun Zhang,et al. EVM simulation and its comparison with BER for different types of modulation , 2007, TENCON 2007 - 2007 IEEE Region 10 Conference.
[25] Chik Patrick Yue,et al. On-chip RF isolation techniques , 2002, Proceedings of the Bipolar/BiCMOS Circuits and Technology Meeting.
[26] Mikko Valkama,et al. Signal processing challenges for applying software radio principles in future wireless terminals: an overview , 2002, Int. J. Commun. Syst..
[27] Jerzy Dabrowski,et al. Mixed loopback BiST for RF digital transceivers , 2004 .
[28] S.S. Taylor,et al. A Broadband Low-Cost Direct-Conversion Receiver Front-End in 90 nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[29] Rashad Ramzan,et al. CMOS blocks for on-chip RF test , 2006 .
[30] Stefan Andersson,et al. An RF sampling radio frontend based on sigmadelta-conversion. , 2006 .
[31] Tao Zhang,et al. A novel 5GHz RF power detector , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[32] Mona E. Zaghloul,et al. CMOS foundry implementation of Schottky diodes for RF detection , 1996 .
[33] Abhijit Chatterjee,et al. Low-cost alternate EVM test for wireless receiver systems , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).
[34] Kenichi Okada,et al. Reconfigurable RF CMOS Circuit for Cognitive Radio , 2008, IEICE Trans. Commun..
[35] John Ferrario,et al. Architecting millisecond test solutions for wireless phone RFICs , 2002, Proceedings. International Test Conference.
[36] Zhiwei Xu,et al. A compact dual-band direct-conversion CMOS transceiver for 802.11a/b/g WLAN , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[37] Gordon W. Roberts,et al. Techniques for high-frequency integrated test and measurement , 2003, IEEE Trans. Instrum. Meas..
[38] Bruce C. Kim,et al. Low-Cost Testing of 5 GHz Low Noise Amplifiers Using New RF BIST Circuit , 2005, J. Electron. Test..
[39] Luigi Carro,et al. Noise figure evaluation using low cost BIST , 2005, Design, Automation and Test in Europe.
[40] M. Riordan. The Silicon Dioxide Solution , 2007, IEEE Spectrum.
[41] G.E. Moore,et al. Gordon Moore's Next Act , 2008, IEEE Spectrum.
[42] Sule Ozev,et al. Defect-Oriented Testing of RF Circuits , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[43] T. R. Viswanathan,et al. Integrated circuit testing for quality assurance in manufacturing: history, current status, and future trends , 1997 .
[44] CMOS-Based Digital Step Attenuator Designs , .
[45] Chuan Yi Tang,et al. A 2.|E|-Bit Distributed Algorithm for the Directed Euler Trail Problem , 1993, Inf. Process. Lett..
[46] Jerzy Dabrowski. BiST model for IC RF-transceiver front-end , 2003, Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems.
[47] Thomas H. Lee. The Design of CMOS Radio-Frequency Integrated Circuits , 1998 .
[48] J. H. Huh,et al. A design of DC-2 GHz linear-controlled CMOS attenuator , 2002, The 10th IEEE International Symposium on Electron Devices for Microwave and Optoelectronic Applications.
[49] Luigi Carro,et al. Low cost on-line testing of RF circuits , 2004, Proceedings. 10th IEEE International On-Line Testing Symposium.
[50] J.-F. Luy,et al. Configurable RF receiver architectures , 2004, IEEE Microwave Magazine.
[51] Yichuang Sun,et al. Wireless communication circuits and systems , 2004 .
[52] Salem Abdennadher,et al. Practices in Mixed-Signal and RF IC Testing , 2007, IEEE Design & Test of Computers.
[53] M. F.,et al. Bibliography , 1985, Experimental Gerontology.
[54] Qizheng Gu,et al. RF System Design of Transceivers for Wireless Communications , 2005 .
[55] M. Onabajo,et al. Strategic Test Cost Reduction with On-Chip Measurement Circuitry for RF Transceiver Front-Ends - An Overview , 2006, 2006 49th IEEE International Midwest Symposium on Circuits and Systems.
[56] Linda S. Milor,et al. Detection of catastrophic faults in analog integrated circuits , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[57] Kai Chang,et al. RF and Microwave Wireless Systems , 2000 .
[58] Dean A. Gahagan. RF (gigahertz) ATE production testing on wafer: options and tradeoffs , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).
[59] Sule Ozev,et al. System-level test synthesis for mixed-signal designs , 2001 .
[60] K. Folkesson,et al. A 2.4-GHz RF sampling receiver front-end in 0.18-/spl mu/m CMOS , 2005, IEEE Journal of Solid-State Circuits.
[61] Apostolos Georgiadis,et al. Gain, phase imbalance, and phase noise effects on error vector magnitude , 2004, IEEE Transactions on Vehicular Technology.
[62] Alberto Valdes-Garcia,et al. On-Chip Testing Techniques for RF Wireless Transceivers , 2006, IEEE Design & Test of Computers.
[63] Abhijit Chatterjee,et al. Built-in test of RF components using mapped feature extraction sensors , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).
[64] André Ivanov,et al. An all-digital DFT scheme for testing catastrophic faults in PLLs , 2003, IEEE Design & Test of Computers.
[65] Soon-Jyh Chang,et al. Structural Fault Based Specification Reduction for Testing Analog Circuits , 2002, J. Electron. Test..
[66] D. Leenaerts,et al. A 65nm CMOS 30dBm class-E RF power amplifier with 60% power added efficiency , 2008, 2008 IEEE Radio Frequency Integrated Circuits Symposium.
[67] Manoj Sachdev,et al. Defect-oriented test methodology for complex mixed-signal circuits , 1995, Proceedings the European Design and Test Conference. ED&TC 1995.
[68] T. Sowlati,et al. Low Flicker-Noise Quadrature Mixer Topology , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[69] Joe Kelly,et al. Production Testing of Rf and System-On-A-Chip Devices for Wireless Communications (Artech House Microwave Library) , 2004 .
[70] MILTON FENG,et al. Device technologies for RF front-end circuits in next-generation wireless communications , 2004, Proceedings of the IEEE.
[71] Xudong Wang,et al. Meeting the design challenges in modern RFCMOS technology , 2004, Proceedings. 7th International Conference on Solid-State and Integrated Circuits Technology, 2004..
[72] Shahriar Mirabbasi,et al. Classical and modern receiver architectures , 2000, IEEE Commun. Mag..
[73] Mustapha Slamani,et al. A low-cost test solution for wireless phone RFICs , 2003, IEEE Commun. Mag..
[74] Asad A. Abidi. Direct-conversion radio transceivers for digital communications , 1995 .
[75] Bruce C. Kim,et al. A new design for built-in self-test of 5GHz low noise amplifiers , 2004, IEEE International SOC Conference, 2004. Proceedings..
[76] J. Dabrowski. Fault modeling of RF blocks based on noise analysis , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[77] F. Svelto,et al. Toward multistandard mobile terminals - fully integrated receivers requirements and architectures , 2005, IEEE Transactions on Microwave Theory and Techniques.
[78] Alberto Valdes-Garcia,et al. A CMOS RF RMS detector for built-in testing of wireless transceivers , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).
[79] André Ivanov,et al. Fault simulation and testing of an OTA biquadratic filter , 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.
[80] Abhijit Chatterjee,et al. Low-cost Production Test of BER for Wireless Receivers , 2005, 14th Asian Test Symposium (ATS'05).
[81] Michael Yan Wah Chia,et al. A wide band CMOS RF power detector , 2006, 2006 IEEE International Symposium on Circuits and Systems.
[82] W.R. Eisenstadt,et al. IIP3 estimation from the gain compression curve , 2005, IEEE Transactions on Microwave Theory and Techniques.
[83] Sumantra Seth,et al. An integrated linear RF power detector , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[84] A. Blad,et al. An RF Sampling Radio Frontend Based on ΣΔ-Conversion , 2006, 2006 NORCHIP.
[85] Tughrul Arslan,et al. IEEE International Symposium on Circuits and Systems (ISCAS 2005) , 2005 .
[86] Tao Zhang,et al. A translinear RMS detector for embedded test of RF ICs , 2005, IEEE Transactions on Instrumentation and Measurement.
[87] Brian Randell,et al. Edsger Dijkstra , 2003, 2003 The Ninth IEEE International Workshop on Object-Oriented Real-Time Dependable Systems.
[88] Gordon W. Roberts,et al. A built-in self-test strategy for wireless communication systems , 1995, Proceedings of 1995 IEEE International Test Conference (ITC).
[89] Doris Lupea,et al. RF-BIST: loopback spectral signature analysis , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[90] John Ferrario,et al. Architecting millisecond test solutions for wireless phone RIFIC's , 2003, International Test Conference, 2003. Proceedings. ITC 2003..
[91] Jacob A. Abraham,et al. Low Cost RF Receiver Parameter Measurement with On-Chip Amplitude Detectors , 2008, 26th IEEE VLSI Test Symposium (vts 2008).
[92] R.B. Staszewski,et al. The First Fully Integrated Quad-Band GSM/GPRS Receiver in a 90-nm Digital CMOS Process , 2006, IEEE Journal of Solid-State Circuits.
[93] Alan Kafton. Wireless SOC testing: Can RF testing costs be reduced? , 2002, Proceedings. International Test Conference.
[94] J. Chiu,et al. A noise cancellation technique in active RF-CMOS mixers , 2005, IEEE Journal of Solid-State Circuits.
[95] Stefan Andersson,et al. Multiband LNA Design and RF-Sampling Front-Ends for Flexible Wireless Receivers , 2006 .
[96] Omid Shoaei,et al. A New IIP2 Enhancement Technique for CMOS Down-Converter Mixers , 2007, IEEE Transactions on Circuits and Systems II: Express Briefs.
[97] Kari Halonen,et al. A linear-control wide-band CMOS attenuator , 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).
[98] Qi Wang,et al. RF front-end system gain and linearity built-in test , 2006, 24th IEEE VLSI Test Symposium.
[99] Kurt Müller,et al. Error vector magnitude (EVM) measurements for GSM/EDGE applications revised under production conditions , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[100] Khurram Muhammad,et al. Digital RF processing: toward low-cost reconfigurable radios , 2005, IEEE Communications Magazine.
[101] L. S. Milor,et al. A tutorial introduction to research on analog and mixed-signal circuit testing , 1998 .
[102] Robert Weigel,et al. RF system concepts for highly integrated RFICs for W-CDMA mobile radio terminals , 2002 .
[103] Sule Ozev,et al. Delayed-RF based test development for FM transceivers using signature analysis , 2004 .
[104] E. Acar,et al. Enhanced Error Vector Magnitude (EVM) Measurements for Testing WLAN Transceivers , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[105] Rodney G. Vaughan,et al. The theory of bandpass sampling , 1991, IEEE Trans. Signal Process..
[106] G.E. Moore,et al. Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.
[107] M. Soma,et al. Challenges in analog and mixed-signal fault models , 1996 .
[108] Frank Demmerle. Integrated RF-CMOS Transceivers challenge RF Test , 2006, 2006 IEEE International Test Conference.
[109] Huey-Ru Chuang,et al. Computer simulation and measurement of error vector magnitude (EVM) and adjacent-channel power ratio (ACPR) for digital wireless communication RF power amplifiers , 1999, Gateway to 21st Century Communications Village. VTC 1999-Fall. IEEE VTS 50th Vehicular Technology Conference (Cat. No.99CH36324).
[110] Waleed Khalil,et al. A Self-Calibrated On-chip Phase-Noise-Measurement Circuit with -75dBc Single-Tone Sensitivity at 100kHz Offset , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[111] Mani Soma,et al. An experimental approach to analog fault models , 1991, Proceedings of the IEEE 1991 Custom Integrated Circuits Conference.