Essential Issues in Analytical Placement Algorithms

The placement problem is to place objects into a fixed die such that no objects overlap with each other and some cost metric (e.g., wirelength) is optimized. Placement is a major step in physical design that has been studied for several decades. Although it is a classical problem, many modern design challenges have reshaped this problem. As a result, the placement problem has attracted much attention recently, and many new algorithms have been developed to handle the emerging design challenges. Modern placement algorithms can be classified into three major categories: simulated annealing, min-cut, and analytical algorithms. According to the recent literature, analytical algorithms typically achieve the best placement quality for large-scale circuit designs. In this paper, therefore, we shall give a systematic and comprehensive survey on the essential issues in analytical placement. This survey starts by dissecting the basic structure of analytical placement. Then, various techniques applied as components of popular analytical placers are studied, and two leading placers are exemplified to show the composition of these techniques into a complete placer. Finally, we point out some research directions for future analytical placement.

[1]  Wei Li,et al.  Analytical thermal placement for VLSI lifetime improvement and minimum performance variation , 2007, 2007 25th International Conference on Computer Design.

[2]  Bintong Chen,et al.  A Non-Interior-Point Continuation Method for Linear Complementarity Problems , 1993, SIAM J. Matrix Anal. Appl..

[3]  Cheng-Kok Koh,et al.  Mixed block placement via fractional cut recursive bisection , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  Andrew B. Kahng,et al.  A faster implementation of APlace , 2006, ISPD '06.

[5]  Jens Vygen,et al.  Faster optimal single-row placement with fixed ordering , 2000, DATE '00.

[6]  Jason Cong,et al.  Multilevel generalized force-directed method for circuit placement , 2005, ISPD '05.

[7]  Georg Sigl,et al.  GORDIAN: VLSI placement by quadratic programming and slicing optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  Jōhō Shori Gakkai,et al.  IPSJ Transactions on system LSI design methodology , 2008 .

[9]  Ulf Schlichtmann,et al.  Abacus: fast legalization of standard cell circuits with minimal movement , 2008, ISPD '08.

[10]  Andrew B. Kahng,et al.  Power-aware placement , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[11]  Yao-Wen Chang,et al.  Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[12]  Chris C. N. Chu,et al.  RQL: Global Placement via Relaxed Quadratic Spreading and Linearization , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[13]  Chris C. N. Chu,et al.  FastPlace 3.0: A Fast Multilevel Quadratic Placement Algorithm with Placement Congestion Control , 2007, 2007 Asia and South Pacific Design Automation Conference.

[14]  Andrew B. Kahng,et al.  Optimization of linear placements for wirelength minimization with free sites , 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).

[15]  Patrick H. Madden,et al.  Recursive bisection placement: feng shui 5.0 implementation details , 2005, ISPD '05.

[16]  Andrew B. Kahng,et al.  A fast hierarchical quadratic placement algorithm , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Andrew B. Kahng,et al.  Implementation and extensibility of an analytic placer , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Cheng-Kok Koh,et al.  Recursive Function Smoothing of Half-Perimeter Wirelength for Analytical Placement , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[19]  Carl Sechen,et al.  Timing Driven Placement for Large Standard Cell Circuits , 1995, 32nd Design Automation Conference.

[20]  Steve Smale,et al.  Algorithms for Solving Equations , 2010 .

[21]  Patrick H. Madden,et al.  Fast Analytic Placement using Minimum Cost Flow , 2007, 2007 Asia and South Pacific Design Automation Conference.

[22]  Jens Vygen,et al.  BonnPlace: Placement of Leading-Edge Chips by Advanced Combinatorial Algorithms , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[23]  Ernest S. Kuh,et al.  An Algorithm for Performance-Driven Placement of Cell-Based ICs , 1991 .

[24]  Jarrod A. Roy,et al.  Unification of partitioning, placement and floorplanning , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[25]  Joseph R. Shinnerl,et al.  mPL6: enhanced multilevel mixed-size placement , 2006, ISPD '06.

[26]  Konrad Doll,et al.  Analytical placement: a linear or a quadratic objective function? , 1991, 28th ACM/IEEE Design Automation Conference.

[27]  Yao-Wen Chang,et al.  NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density Constraints , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  Ulrich Brenner,et al.  Faster and better global placement by a new transportation algorithm , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[29]  Chris C. N. Chu,et al.  FastPlace: efficient analytical placement using cell shifting, iterative local refinement,and a hybrid net model , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[30]  Andrew B. Kahng,et al.  An analytic placer for mixed-size placement and timing-driven placement , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[31]  Andrew B. Kahng,et al.  Partitioning-based standard-cell global placement with an exact objective , 1997, ISPD '97.

[32]  Chris C. N. Chu,et al.  FastPlace: efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[33]  Arvind Srinivasan,et al.  RITUAL: a performance driven placement algorithm for small cell ICs , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[34]  Andrew A. Kennings,et al.  Engineering details of a stable force-directed placer , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[35]  Jason Cong,et al.  Routability-driven placement and white space allocation , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[36]  情報処理学会 IPSJ transactions on system LSI design methodology , 2008 .

[37]  Chris C. N. Chu,et al.  An efficient and effective detailed placement algorithm , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[38]  Hung-Yi Liu,et al.  Voltage Island Aware Floorplanning for Power and Timing Optimization , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[39]  Chung-Kuan Cheng,et al.  Unified quadratic programming approach for mixed mode placement , 2005, ISPD '05.

[40]  Jarrod A. Roy,et al.  Satisfying whitespace requirements in top-down placement , 2006, ISPD '06.

[41]  Majid Sarrafzadeh,et al.  Routability driven white space allocation for fixed-die standard-cell placement , 2002, ISPD '02.

[42]  Jens Vygen Algorithms for detailed placement of standard cells , 1998, Proceedings Design, Automation and Test in Europe.

[43]  Kaustav Banerjee,et al.  Analysis and optimization of thermal issues in high-performance VLSI , 2001, ISPD '01.

[44]  Ulf Schlichtmann,et al.  Kraftwerk2—A Fast Force-Directed Quadratic Placement Approach Using an Accurate Net Model , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[45]  Yao-Wen Chang,et al.  Constraint graph-based macro placement for modern mixed-size circuit designs , 2008, ICCAD 2008.

[46]  Yao-Wen Chang,et al.  NTUplace: a ratio partitioning based placement algorithm for large-scale mixed-size designs , 2005, ISPD '05.

[47]  Peter Spindler,et al.  Fast and Accurate Routing Demand Estimation for Efficient Routability-driven Placement , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[48]  William H. Press,et al.  Numerical recipes in C , 2002 .

[49]  Yao-Wen Chang,et al.  MP-Trees: A Packing-Based Macro Placement Algorithm for Modern Mixed-Size Designs , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[50]  R. M. Mattheyses,et al.  A Linear-Time Heuristic for Improving Network Partitions , 1982, 19th Design Automation Conference.

[51]  Kimiyoshi Usami,et al.  Clustered voltage scaling technique for low power , 1995 .

[52]  Jens Vygen,et al.  Algorithms for large-scale flat placement , 1997, DAC.

[53]  Cheng-Kok Koh,et al.  Guiding global placement with wire density , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[54]  Andrew B. Kahng,et al.  Architecture and details of a high quality, large-scale analytical placer , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[55]  A. Volgenant,et al.  A shortest augmenting path algorithm for dense and sparse linear assignment problems , 1987, Computing.

[56]  Taraneh Taghavi,et al.  Dragon2005: large-scale mixed-size placement tool , 2005, ISPD '05.

[57]  A. Sangiovanni-Vincentelli,et al.  The TimberWolf placement and routing package , 1985, IEEE Journal of Solid-State Circuits.

[58]  Jens Vygen Plazierung im VLSI-Design und ein zweidimensionales Zerlegungsproblem , 1996 .

[59]  David Z. Pan,et al.  Diffusion-Based Placement Migration With Application on Legalization , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[60]  M. Marek-Sadowska,et al.  mFAR: fixed-points-addition-based VLSI placement algorithm , 2005, ISPD '05.

[61]  Tung-Chieh Chen,et al.  A High-Quality Mixed-Size Analytical Placer Considering Preplaced Blocks and Density Constraints , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[62]  Mark Horowitz,et al.  Clustered voltage scaling technique for low-power design , 1995, ISLPED '95.

[63]  David Z. Pan,et al.  DPlace2.0: A stable and efficient analytical placement based on diffusion , 2008, 2008 Asia and South Pacific Design Automation Conference.