Simulation of high-speed interconnects

With the rapid developments in very large-scale integration (VLSI) technology, design and computer-aided design (CAD) techniques, at both the chip and package level, the operating frequencies are fast reaching the vicinity of gigahertz and switching times are getting to the subnanosecond levels. The ever increasing quest for high-speed applications is placing higher demands on interconnect performance and highlighted the previously negligible effects of interconnects such as ringing, signal delay, distortion, reflections, and crosstalk. In this review paper various high-speed interconnect effects are briefly discussed. In addition, recent advances in transmission line macromodeling techniques are presented. Also, simulation of high-speed interconnects using model-reduction-based algorithms is discussed in detail.

[1]  Michel S. Nakhla,et al.  Full-wave analysis of high-speed interconnects using complex frequency hopping , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  R. H. Jansen,et al.  The Spectral-Domain Approach for Microwave Integrated Circuits , 1985 .

[3]  O. Palusinski,et al.  Analysis of transients in nonuniform and uniform multiconductor transmission lines , 1989 .

[4]  J. L. Prince,et al.  Sensitivity analysis of multiconductor transmission lines and optimization for high-speed interconnect circuit design , 2000 .

[5]  R. Freund Reduced-Order Modeling Techniques Based on Krylov Subspaces and Their Use in Circuit Simulation , 1999 .

[6]  Anestis Dounavis,et al.  Passive closed-form transmission-line model for general-purpose circuit simulators , 1999, IMS 1999.

[7]  Mattan Kamon,et al.  Efficient reduced-order modeling of frequency-dependent coupling inductances associated with 3-D interconnect structures , 1996 .

[8]  R. Mittra,et al.  Spectral-Domain Approach for Calculating the Dispersion Characteristics of Microstrip Lines (Short Papers) , 1973 .

[9]  Andreas C. Cangellaris,et al.  Simulation of dispersive multiconductor transmission lines by Pade approximation via the Lanczos process , 1996 .

[10]  Lawrence T. Pileggi,et al.  Time-domain macromodels for VLSI interconnect analysis , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[11]  Ernest S. Kuh,et al.  Transient simulation of lossy interconnects based on the recursive convolution formulation , 1992 .

[12]  Michel S. Nakhla,et al.  Time domain analysis of nonuniform frequency dependent high-speed interconnects , 1992, ICCAD.

[13]  Daniël De Zutter,et al.  New reciprocal circuit model for lossy waveguide structures based on the orthogonality of the eigenmodes , 1994 .

[14]  A. Ruehli,et al.  Efficient Capacitance Calculations for Three-Dimensional Multiconductor Systems , 1973 .

[15]  Michel Nakhla,et al.  Passive interconnect reduction algorithm for distributed/measured networks , 2000 .

[16]  R. Goyal,et al.  Managing signal integrity [PCB design] , 1994, IEEE Spectrum.

[17]  J. L. Norman Violette,et al.  An Introduction to Electromagnetic Compatibility , 1987 .

[18]  Rui Wang,et al.  Transient analysis of dispersive VLSI interconnects terminated in nonlinear loads , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[19]  C. Loan,et al.  Nineteen Dubious Ways to Compute the Exponential of a Matrix , 1978 .

[20]  Paolo Bernardi,et al.  Transient response of a microstrip line circuit excited by an external electromagnetic source , 1992 .

[21]  Michel Nakhla,et al.  A CAD framework for simulation and optimization of high-speed VLSI interconnections , 1992 .

[22]  Jose E. Schutt-Aine,et al.  Efficient transient simulation of high-speed interconnects characterized by sampled data , 1996 .

[23]  Michel S. Nakhla,et al.  Time domain sensitivity of high-speed VLSI interconnects , 1994, Int. J. Circuit Theory Appl..

[24]  Clayton R. Paul Literal solutions for the time-domain response of a two-conductor transmission line excited by an incident electromagnetic field , 1995 .

[25]  Roger F. Harrington,et al.  Analysis of Lossy Transmission Lines with Arbitrary Nonlinear Terminal Networks , 1986 .

[26]  Roland W. Freund,et al.  Reduced-order modeling of large linear passive multi-terminal circuits using matrix-Pade approximation , 1998, Proceedings Design, Automation and Test in Europe.

[27]  Ramachandra Achar,et al.  Efficient transient simulation of embedded subnetworks characterized by S-parameters in the presence of nonlinear elements , 1998 .

[28]  Lawrence T. Pileggi,et al.  Asymptotic waveform evaluation for timing analysis , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[29]  A. Ruehli,et al.  A method for reduced-order modeling and simulation of large interconnect circuits and its application to PEEC models with retardation , 2000 .

[30]  Van Valkenburg,et al.  Introduction to Modern Network Synthesis , 1960 .

[31]  Andreas C. Cangellaris,et al.  Rigorous electromagnetic modeling of chip-to-package (first-level) interconnections , 1993 .

[32]  A. Deutsch,et al.  Electrical characteristics of interconnections for high-performance systems , 1998, Proc. IEEE.

[33]  Albert E. Ruehli,et al.  Inductance calculations in a complex integrated circuit environment , 1972 .

[34]  Alberto L. Sangiovanni-Vincentelli,et al.  The Waveform Relaxation Method for Time-Domain Analysis of Large Scale Integrated Circuits , 1982, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[35]  Allen Taflove,et al.  FD-TD modeling of digital signal propagation in 3-D circuits with passive and active loads , 1994 .

[36]  Andreas C. Cangellaris,et al.  Scattering parameter-based simulation of transients in lossy nonlinearly terminated packaging interconnections , 1994 .

[37]  O. Wing,et al.  A circuit model of a system of VLSI interconnects for time response computation , 1991 .

[38]  Michel Nakhla,et al.  Simulation of high-speed interconnects in a multilayered medium in the presence of incident field , 1998 .

[39]  Thomas L. Quarles THE SPICE3 IMPLEMENTATION GUIDE , 1989 .

[40]  Thomas Kailath,et al.  Linear Systems , 1980 .

[41]  Hideki Hasegawa,et al.  Analysis of Interconnection Delay on Very High-Speed LSI/VLSI Chips Using an MIS Microstrip Line Model , 1984 .

[42]  Ronald A. Rohrer,et al.  Interconnect simulation with asymptotic waveform evaluation (AWE) , 1992 .

[43]  A. Ruehli Equivalent Circuit Models for Three-Dimensional Multiconductor Systems , 1974 .

[44]  C. Durney,et al.  Extending the two-dimensional FDTD method to hybrid electromagnetic systems with active and passive lumped elements , 1992 .

[45]  James Demmel,et al.  Applied Numerical Linear Algebra , 1997 .

[46]  I. Elfadel,et al.  A block rational Arnoldi algorithm for multipoint passive model-order reduction of multiport RLC networks , 1997, ICCAD 1997.

[47]  Michel Nakhla,et al.  Sensitivity Analysis of Lossy Coupled Transmission Lines with Nonlinear Terminations , 1992, 1992 22nd European Microwave Conference.

[48]  E. K. Miller,et al.  Using model-based parameter estimation to increase the efficiency of computing electromagnetic transfer functions , 1989 .

[49]  Chi-Tsong Chen,et al.  Linear System Theory and Design , 1995 .

[50]  Andreas C. Cangellaris,et al.  An all-purpose transmission-line model for interconnect simulation in SPICE , 1997 .

[51]  Jose E. Schutt-Aine,et al.  Optimal transient simulation of transmission lines , 1996 .

[52]  Gerard V. Kopcsay,et al.  High-Speed Signal Propagation on Lossy Transmission Lines , 1990, IBM J. Res. Dev..

[53]  Donald O. Pederson,et al.  Computer design aids for VLSI circuits , 1981 .

[54]  Michel S. Nakhla,et al.  Interconnect Modeling and Simulation , 1999, The VLSI Handbook.

[55]  Albert E. Ruehli,et al.  Survey of computer-aided electrical analysis of integrated circuit interconnections , 1979 .

[56]  Anestis Dounavis,et al.  Efficient passive circuit models for distributed networks with frequency-dependent parameters , 2000 .

[57]  T. Sarkar,et al.  Analysis of Multiconductor Transmission Lines , 1988, 31st ARFTG Conference Digest.

[58]  Jacob K. White,et al.  Generating nearly optimally compact models from Krylov-subspace based reduced-order models , 2000 .

[59]  Qi-Jun Zhang,et al.  A fast method for frequency and time domain simulation of high-speed VLSI interconnects , 1994 .

[60]  Ramachandra Achar,et al.  An efficient approach for moment-matching simulation of linear subnetworks with measured or tabulated data , 1996, ICCAD 1996.

[61]  Michel S. Nakhla,et al.  Simulation of high-speed distributed interconnects using Krylov-space techniques , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[62]  J. L. Prince,et al.  A new discrete transmission line model for passive model order reduction and macromodeling of high-speed interconnections , 1999 .

[63]  M. Nakhla,et al.  Asymptotic Waveform Evaluation: And Moment Matching for Interconnect Analysis , 1993 .

[64]  Albert A. Smith A More Convenient Form of the Equations for the Response of a Transmission Line Excited by Nonuniform Fields , 1973 .

[65]  D. Zutter,et al.  Rigorous analysis of the propagation characteristics of general lossless and lossy multiconductor transmission lines in multilayered media , 1993 .

[66]  Ramachandra Achar,et al.  Circuit analysis of electromagnetic radiation and field coupling effects for networks with embedded full-wave modules , 2000 .

[67]  F. Chang Transient Analysis of Lossless Coupled Transmission Lines in a Non-Homogeneous Dielectric Medium , 1970 .

[68]  M. Raugi Wavelet transform solution of multiconductor transmission line transients , 1999 .

[69]  Clayton R. Paul A comparison of the contributions of common-mode and differential-mode currents in radiated emissions , 1989 .

[70]  Ernest S. Kuh,et al.  Passive multipoint moment matching model order reduction algorithm on multiport distributed interconnect networks , 1999 .

[71]  Jose E. Schutt-Aine,et al.  Accurate frequency-domain modeling and efficient circuit simulation of high-speed packaging interconnects , 1997 .

[72]  Dariush Mirshekar-Syahkal,et al.  Spectral domain method for microwave integrated circuits , 1990 .

[73]  N. Ari,et al.  Analytic formulation of the response of a two-wire transmission line excited by a plane wave , 1988 .

[74]  Franz Schlagenhaufer,et al.  Time Domain Analysis of Lossy Multiconductor Transmission Lines , 2001 .

[75]  M. Raugi,et al.  Transient numerical solutions of nonuniform MTL equations with nonlinear loads by wavelet expansion in time or space domain , 2000 .

[76]  A. E. Ruehii Inductance Calculations in a Complex Integrated Circuit Environment , 2002 .

[77]  Fadhel M. Ghannouchi,et al.  Frequency- and time-domain analyses of nonuniform lossy coupled transmission lines with linear and nonlinear terminations , 2000 .

[78]  Fung-Yuel Chang The generalized method of characteristics for waveform relaxation analysis of lossy coupled transmission lines , 1989 .

[79]  Daniël De Zutter,et al.  Circuit model for plane-wave incidence an multiconductor transmission lines , 1994 .

[80]  Roland W. Freund,et al.  Small-Signal Circuit Analysis and Sensitivity Computations with the PVL Algorithm , 1996 .

[81]  Robert H. Dennard,et al.  Modeling and characterization of long on-chip interconnections for high-performance microprocessors , 1995, IBM Journal of Research and Development.

[82]  Michel Nakhla,et al.  Analysis of high-speed interconnects in the presence of electromagnetic interference , 1996, IMS 1996.

[83]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[84]  Ibrahim M. Elfadel,et al.  A block rational Arnoldi algorithm for multipoint passive model-order reduction of multiport RLC networks , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[85]  Albert E. Ruehli,et al.  The modified nodal approach to network analysis , 1975 .

[86]  T. Sarkar,et al.  Closed-form formulas for frequency-dependent resistance and inductance per unit length of microstrip and strip transmission lines , 1994 .

[87]  Ronald A. Rohrer,et al.  Adaptively controlled explicit simulation , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[88]  A. Deutsch,et al.  A new moment generation technique for interconnects characterized by measured or calculated S-parameters , 1996, Proceedings 1996 IEEE Multi-Chip Module Conference (Cat. No.96CH35893).

[89]  Ramachandra Achar,et al.  Simultaneous time and frequency domain solutions of EM problems using finite element and CFH techniques , 1996 .

[90]  Michel Nakhla Analysis of pulse propagation on high-speed VLSI chips , 1990 .

[91]  Anestis Dounavis,et al.  Passive model reduction of multiport distributed interconnects , 2000 .

[92]  Qi-Jun Zhang,et al.  Minimization Of Delay And Crosstalk In High-speed Vlsi Interconnects , 1992, 1992 Proceedings of the IEEE Custom Integrated Circuits Conference.

[93]  S. Lele Compact finite difference schemes with spectral-like resolution , 1992 .

[94]  Mattan Kamon,et al.  Efficient Reduced-Order Modeling of Frequency-Dependent Coupling Inductances associated with 3-D Interconnect Structures , 1995, 32nd Design Automation Conference.

[95]  J. R. Yee,et al.  A model and algorithm for interconnecting two WANs , 1990, 1990 IEEE International Conference on Systems, Man, and Cybernetics Conference Proceedings.

[96]  A. Agrawal,et al.  Transient Response of Multiconductor Transmission Lines Excited by a Nonuniform Electromagnetic Field , 1980, IEEE Transactions on Electromagnetic Compatibility.

[97]  Roland W. Freund,et al.  Efficient linear circuit analysis by Pade´ approximation via the Lanczos process , 1994, EURO-DAC '94.

[98]  H. Hasegawa,et al.  Analysis of interconnection delay on very high-speed LSI/VLSI chips using an MIS microstrip line model , 1984, IEEE Transactions on Electron Devices.

[99]  G. I. Costache,et al.  A note on the optimum layout of electronic circuits to minimize the radiated electromagnetic field strength , 1988 .

[100]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[101]  Kishore Singhal,et al.  Computer Methods for Circuit Analysis and Design , 1983 .

[102]  Lawrence T. Pileggi,et al.  PRIMA: passive reduced-order interconnect macromodeling algorithm , 1998, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[103]  M. Nakhla,et al.  Time-domain analysis of lossy coupled transmission lines , 1990 .

[104]  M. Ianoz,et al.  EMC Analysis Methods and Computational Models , 1996 .

[105]  Roland W. Freund,et al.  Reduced-Order Modeling of Large Linear Subcircuits via a Block Lanczos Algorithm , 1995, 32nd Design Automation Conference.

[106]  P.D. Ziogas,et al.  An interactive digital simulation PC program for PWM inverter circuits , 1989, Conference Record of the IEEE Industry Applications Society Annual Meeting,.

[107]  Michel S. Nakhla,et al.  Analysis of high-speed VLSI interconnects using the asymptotic waveform evaluation technique , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[108]  John K. Ousterhout Crystal: a Timing Analyzer for nMOS VLSI Circuits , 1983 .

[109]  C. Paul Introduction to electromagnetic compatibility , 2005 .

[110]  Magdy F. Iskander,et al.  FDTD analysis of high frequency electronic interconnection effects , 1995 .

[111]  Qing-Xin Chu,et al.  Transient analysis of microwave active circuits based on time-domain characteristic models , 1998 .

[112]  Tom Dhaene,et al.  Selection of lumped element models for coupled lossy transmission lines , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[113]  P. K. Rajan,et al.  Least square error optimal 2-D FIR filter design for nonsymmetric and symmetric specifications in discrete frequency domain , 1992 .

[114]  Franklin Fa-Kun Kuo,et al.  Network analysis and synthesis , 1962 .

[115]  Michel S. Nakhla,et al.  Mixed frequency/time domain analysis of nonlinear circuits , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[116]  C. R. Paul Efficient Numerical Computation of the Frequency Response of Cables Illuminated by an Electromagnetic Field (Short Papers) , 1974 .

[117]  C. Taylor,et al.  The response of a terminated two-wire transmission line excited by a nonuniform electromagnetic field , 1965 .

[118]  Fung-Yuel Chang,et al.  Waveform relaxation analysis of nonuniform lossy transmission lines characterized with frequency-dependent parameters , 1991 .

[119]  Keith A. Jenkins,et al.  When are transmission-line effects important for on-chip interconnections? , 1997 .

[120]  Jacob K. White,et al.  A coordinate-transformed Arnoldi algorithm for generating guaranteed stable reduced-order models of RLC circuits , 1996, ICCAD 1996.

[121]  Hartmut Grabinski An algorithm for computing the signal propagation on lossy VLSI interconnect systems in the time domain , 1989, Integr..

[122]  E. A. S Guillemin,et al.  Synthesis of Passive Networks , 1957 .

[123]  Sung-Mo Kang,et al.  Computationally efficient simulation of a lossy transmission line with skin effect by using numerical inversion of Laplace transform , 1992 .

[124]  Michel S. Nakhla,et al.  Delay and crosstalk simulation of high-speed VLSI interconnects with nonlinear terminations , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[125]  Juliusz Poltz Optimizing VLSI interconnect model for SPICE simulation , 1994 .

[126]  T.K. Sarkar,et al.  Time-domain response of multiconductor transmission lines , 1987, Proceedings of the IEEE.

[127]  Michel S. Nakhla,et al.  Analysis of high-speed VLSI interconnects using the asymptotic waveform evaluation technique , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[128]  Andrew T. Yang,et al.  Preservation of passivity during RLC network reduction via split congruence transformations , 1997, DAC.

[129]  A. Ruehli,et al.  Circuit models for three-dimensional geometries including dielectrics , 1992 .

[130]  Vivek Raghavan,et al.  AWESpice: a general tool for the accurate and efficient simulation of interconnect problems , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[131]  G. I. Costache,et al.  Skin-effect considerations on transient response of a transmission line excited by an electromagnetic pulse , 1992 .

[132]  Ernest S. Kuh,et al.  Exact moment matching model of transmission lines and application to interconnect delay estimation , 1995, IEEE Trans. Very Large Scale Integr. Syst..

[133]  Ron K. Poon Computer Circuits Electrical Design , 1995 .

[134]  John M. Watts,et al.  Analysis and synthesis , 1985 .

[135]  Norman P. Jouppi,et al.  Timing Analysis and Performance Improvement of MOS VLSI Designs , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[136]  J. A. Brandão Faria,et al.  Multiconductor Transmission-Line Structures: Modal Analysis Techniques , 1993 .

[137]  Sung-Mo Kang,et al.  Modeling and simulation of interconnection delays and crosstalks in high-speed integrated circuits , 1990 .

[138]  Andreas C. Cangellaris,et al.  S-parameter analysis of multiconductor, integrated circuit interconnect systems , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[139]  J. McCabe,et al.  A Formal Extension of the Padé Table to Include Two Point Padé Quotients , 1975 .

[140]  Raj Mittra,et al.  Nonlinear transient analysis of coupled transmission lines , 1989 .

[141]  Mark Horowitz,et al.  Signal Delay in RC Tree Networks , 1983, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[142]  T. K. Tang,et al.  Analysis of lossy multiconductor transmission lines using the asymptotic waveform evaluation technique , 1991 .

[143]  Andrzej J. Strojwas,et al.  Asymptotic waveform evaluation for transient analysis of 3-D interconnect structures , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[144]  R. Mittra,et al.  Scattering parameter transient analysis of transmission lines loaded with nonlinear terminations , 1988 .

[145]  Chu-Sun Yen,et al.  Time-domain skin-effect model for transient analysis of lossy transmission lines , 1982, Proceedings of the IEEE.

[146]  Lawrence T. Pileggi,et al.  Practical considerations for passive reduction of RLC circuits , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[147]  Mattan Kamon,et al.  A coordinate-transformed Arnoldi algorithm for generating guaranteed stable reduced-order models of RLC circuits , 1996, Proceedings of International Conference on Computer Aided Design.

[148]  K. Gupta,et al.  Microstrip Lines and Slotlines , 1979 .

[149]  Jacob K. White,et al.  Relaxation Techniques for the Simulation of VLSI Circuits , 1986 .

[150]  A. T. Yang,et al.  Interconnect characterization using time domain reflectometry , 1994, Proceedings of 1994 IEEE Electrical Performance of Electronic Packaging.

[151]  Michel S. Nakhla,et al.  Analysis of interconnect networks using complex frequency hopping (CFH) , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[152]  S. Uṇṇikrishṇa Pillai,et al.  Spectrum Estimation and System Identification , 1993 .

[153]  M. Cases,et al.  Transient response of uniformly distributed RLC transmission lines , 1980 .

[154]  Jr. F.H. Branin,et al.  Transient analysis of lossless transmission lines , 1967 .

[155]  Clayton R. Paul,et al.  A SPICE model for multiconductor transmission lines excited by an incident electromagnetic field , 1994 .