Synthesis of NoC Interconnects for Multi-core Architectures

As SoC applications demand high performance and integration density, SoC designers consider multiple aspects during the design phase. This paper presents a Network-on-Chip (NoC) design methodology for generating high quality interconnects for multi-core System-on-Chip architectures. The design process incorporates the main objectives of low power and high performance during topology synthesis. A rendezvous interaction performance analysis method is presented where Layered Queuing Network models are invoked to observe the asynchronous interactions between NoC components and identify possible performance degradation within the on-chip multi-core network. Several experiments are conducted using various SoC benchmark applications to compare the power and performance outcomes of our proposed technique.

[1]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[2]  Glenn Leary,et al.  Design of Network-on-Chip Architectures With a Genetic Algorithm-Based Technique , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  Gul N. Khan,et al.  Throughput-Oriented NoC Topology Generation and Analysis for High Performance SoCs , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Luca Benini,et al.  A method to remove deadlocks in Networks-on-Chips with Wormhole flow control , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[5]  Luca Benini,et al.  SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips , 2009, DATE.

[6]  Krishnan Srinivasan,et al.  A Low Complexity Heuristic for Design of Custom Network-on-Chip Architectures , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[7]  Fernando Gehm Moraes,et al.  Increasing NoC power estimation accuracy through a rate-based model , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[8]  Luca Benini,et al.  A method for calculating hard QoS guarantees for Networks-on-Chip , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[9]  Radu Marculescu,et al.  Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.

[10]  Andrew B. Kahng,et al.  ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[11]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[12]  Fred W. Glover,et al.  Tabu Search , 1997, Handbook of Heuristics.

[13]  Jari Nurmi,et al.  IMPLEMENTATION OF INTERFACE ROUTER IP FOR PROTEO NETWORK-ON-CHIP , 2003 .

[14]  Luca Benini,et al.  Networks on Chips: From research to products , 2010, Design Automation Conference.

[15]  Srinivasan Murali,et al.  SUNMAP: a tool for automatic topology selection and generation for NoCs , 2004, Proceedings. 41st Design Automation Conference, 2004..

[16]  Shikharesh Majumdar,et al.  The Stochastic Rendezvous Network Model for Performance of Synchronous Client-Server-like Distributed Software , 1995, IEEE Trans. Computers.