Cost optimization in ASIC implementation of periodic hard-real time systems using behavioral synthesis techniques

Abstract: Modern applications are often defined as sets of several computational tasks. This paper presents a synthesis algorithm for ASIC implementations which realize multiple computational tasks under hard real-time deadlines. The algorithm analyzes constraints imposed by task sharing as well as the traditional datapath synthesis criteria. In particular we demonstrated an efficient technique to combine rate-monotonic scheduling, a widely used hard real-time systems scheduling discipline, with estimations and scheduling and allocation algorithms. Matching the number of bits in tasks assigned to the same processor was the most important factor in obtaining good designs. We have demonstrated the effectiveness of our algorithms on several multiple-task examples.

[1]  Alice C. Parker,et al.  The high-level synthesis of digital systems , 1990, Proc. IEEE.

[2]  James W. Layland,et al.  Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.

[3]  Miodrag Potkonjak,et al.  Optimizing power using transformations , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Donald E. Thomas,et al.  Architectural Partitioning for System Level Design , 1989, 26th ACM/IEEE Design Automation Conference.

[5]  Miodrag Potkonjak,et al.  High level synthesis for reconfigurable datapath structures , 1993, ICCAD.

[6]  Frank Vahid,et al.  Specification partitioning for system design , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[7]  Wolfgang Rosenstiel,et al.  A method for partitioning UNITY language in hardware and software , 1994, EURO-DAC '94.

[8]  Lui Sha,et al.  Real-time scheduling theory and Ada , 1990, Computer.

[9]  Miodrag Potkonjak,et al.  Fast prototyping of datapath-intensive architectures , 1991, IEEE Design & Test of Computers.

[10]  Miodrag Potkonjak,et al.  Algorithm selection: a quantitative computation-intensive optimization approach , 1994, ICCAD.

[11]  Xiaobo Sharon Hu,et al.  Codesign of architectures for automotive powertrain modules , 1994, IEEE Micro.

[12]  Giovanni De Micheli,et al.  Hardware-software cosynthesis for digital systems , 1993, IEEE Design & Test of Computers.

[13]  Wayne Wolf,et al.  Hardware-software co-design of embedded systems , 1994, Proc. IEEE.

[14]  Rainer Leupers,et al.  Retargetable assembly code generation by bootstrapping , 1994, Proceedings of 7th International Symposium on High-Level Synthesis.

[15]  Edward A. Lee,et al.  Static Scheduling of Synchronous Data Flow Programs for Digital Signal Processing , 1989, IEEE Transactions on Computers.

[16]  John P. Lehoczky,et al.  The rate monotonic scheduling algorithm: exact characterization and average case behavior , 1989, [1989] Proceedings. Real-Time Systems Symposium.

[17]  Hugo De Man,et al.  Integration of medium-throughput signal processing algorithms on flexible instruction-set architectures , 1995, J. VLSI Signal Process..