An Efficient Protection Technique for Last Level STT-RAM Caches in Multi-Core Processors
暂无分享,去创建一个
[1] Lizy Kurian John,et al. Subsetting the SPEC CPU2006 benchmark suite , 2007, CARN.
[2] Wenqing Wu,et al. Probabilistic design methodology to improve run-time stability and performance of STT-RAM caches , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[3] B. Diény,et al. Precessional spin-transfer switching in a magnetic tunnel junction with a synthetic antiferromagnetic perpendicular polarizer , 2012 .
[4] Yiran Chen,et al. CD-ECC: Content-dependent error correction codes for combating asymmetric nonvolatile memory operation errors , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[5] Wei Wu,et al. Reducing cache power with low-cost, multi-bit error-correcting codes , 2010, ISCA.
[6] Youguang Zhang,et al. Yield and Reliability Improvement Techniques for Emerging Nonvolatile STT-MRAM , 2015, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[7] Yiran Chen,et al. Asymmetry of MTJ switching and its implication to STT-RAM designs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[8] Kaushik Roy,et al. A forward body-biased low-leakage SRAM cache: device, circuit and architecture considerations , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[9] Vijayalakshmi Srinivasan,et al. Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.
[10] Cong Xu,et al. NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[11] Nanning Zheng,et al. Architectural Exploration to Enable Sufficient MTJ Device Write Margin for STT-RAM Based Cache , 2012, IEEE Transactions on Magnetics.
[12] Farshad Moradi,et al. STT-RAM write energy consumption reduction by differential write termination method , 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).
[13] Jongsun Park,et al. Reconfigurable ECC for adaptive protection of memory , 2013, 2013 IEEE 56th International Midwest Symposium on Circuits and Systems (MWSCAS).
[14] Chaitali Chakrabarti,et al. Enhancing the Reliability of STT-RAM through Circuit and System Level Techniques , 2012, 2012 IEEE Workshop on Signal Processing Systems.
[15] S. Kirolos,et al. Adaptive SRAM design for dynamic voltage scaling VLSI systems , 2007, 2007 50th Midwest Symposium on Circuits and Systems.
[16] Yiran Chen,et al. A Novel Self-Reference Technique for STT-RAM Read and Write Reliability Enhancement , 2014, IEEE Transactions on Magnetics.
[17] Kaushik Roy,et al. A forward body-biased low-leakage SRAM cache: device and architecture considerations , 2003, ISLPED '03.
[18] D. Dimitrov,et al. Thermal fluctuation effects on spin torque induced switching: Mean and variations , 2008 .
[19] Winfried W. Wilcke,et al. Storage-class memory: The next storage system technology , 2008, IBM J. Res. Dev..
[20] Ippokratis Pandis,et al. From A to E: analyzing TPC's OLTP benchmarks: the obsolete, the ubiquitous, the unexplored , 2013, EDBT '13.
[21] M.H. Kryder,et al. After Hard Drives—What Comes Next? , 2009, IEEE Transactions on Magnetics.
[22] Rami G. Melhem,et al. CAFO: Cost aware flip optimization for asymmetric memories , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
[23] Yiran Chen,et al. Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[24] J. F. Webb,et al. New method to get fundamental Gaussian beam’s perturbation solution and its global property , 2008 .
[25] Shu Lin,et al. Error Control Coding , 2004 .
[26] Xuanyao Fong,et al. High-Density and Robust STT-MRAM Array Through Device/Circuit/Architecture Interactions , 2015, IEEE Transactions on Nanotechnology.
[27] Yaojun Zhang,et al. STT-RAM CELL DESIGN CONSIDERING MTJ ASYMMETRIC SWITCHING , 2012 .
[28] Seyed Ghassem Miremadi,et al. LER: Least-Error-Rate Replacement Algorithm for Emerging STT-RAM Caches , 2016, IEEE Transactions on Device and Materials Reliability.
[29] K. Yelick,et al. Intelligent RAM (IRAM): chips that remember and compute , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.
[30] Eugene John,et al. A quasi-power-gated low-leakage stable SRAM cell , 2010, 2010 53rd IEEE International Midwest Symposium on Circuits and Systems.
[31] Seyed Ghassem Miremadi,et al. Floating-ECC: Dynamic Repositioning of Error Correcting Code Bits for Extending the Lifetime of STT-RAM Caches , 2016, IEEE Transactions on Computers.
[32] Wenqing Wu,et al. Multi retention level STT-RAM cache designs with a dynamic refresh scheme , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[33] Kiyoung Choi,et al. Prediction Hybrid Cache: An Energy-Efficient STT-RAM Cache Architecture , 2016, IEEE Transactions on Computers.
[34] Jacques-Olivier Klein,et al. Failure and reliability analysis of STT-MRAM , 2012, Microelectron. Reliab..
[35] Swarup Bhunia,et al. Reliability-Driven ECC Allocation for Multiple Bit Error Resilience in Processor Cache , 2011, IEEE Transactions on Computers.
[36] Mehdi Baradaran Tahoori,et al. Read disturb fault detection in STT-MRAM , 2014, 2014 International Test Conference.
[37] T. Devolder,et al. Self-Enabled “Error-Free” Switching Circuit for Spin Transfer Torque MRAM and Logic , 2012, IEEE Transactions on Magnetics.
[38] Mahdi Fazeli,et al. FTSPM: A Fault-Tolerant ScratchPad Memory , 2013, 2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).
[39] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[40] Nanning Zheng,et al. Design techniques to improve the device write margin for MRAM-based cache memory , 2011, GLSVLSI '11.
[41] Sachin S. Sapatnekar,et al. Impact of NBTI on SRAM read stability and design for reliability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[42] Kiyoung Choi,et al. Selectively protecting error-correcting code for area-efficient and reliable STT-RAM caches , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).