A Fast Crosstalk- and Performance-Driven Multilevel Routing System

In this paper, we propose a novel framework for fast multilevelrouting considering crosstalk and performance optimization. To handlethe crosstalk minimization problem, we incorporate an intermediatestage of layer/track assignment into the multilevel routing framework.For performance-driven routing, we propose a novel minimum-radiusminimum-cost spanning-tree (MRMCST) heuristic for global routing.Compared with the state-of-the-art multilevel routing, the experimentalresults show that our approach achieved a 6.7X runtime speedup, reducedthe respective maximum and average crosstalk (coupling length)by about 30% and 24%, reduced the respective maximum and averagedelay by about 15% and 5%, and resulted in fewer failed nets.

[1]  D. Hightower,et al.  A solution to line routing problems on the continuous plane , 1988 .

[2]  Yao-Wen Chang,et al.  Multilevel floorplanning/placement for large-scale modules using B*-trees , 2003, DAC '03.

[3]  Shin'ichi Wakabayashi,et al.  Timing-driven hierarchical global routing with wire-sizing and buffer-insertion for VLSI with multi-routing-layer , 2000, ASP-DAC '00.

[4]  D. T. Lee,et al.  On the complexity of bicriteria spanning tree problems for a set of points in the plane , 1999 .

[5]  Shashi Shekhar,et al.  Multilevel hypergraph partitioning: applications in VLSI domain , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[6]  Yao-Wen Chang,et al.  Timing-driven routing for symmetrical array-based FPGAs , 2000, TODE.

[7]  Clifford Stein,et al.  Introduction to Algorithms, 2nd edition. , 2001 .

[8]  Hai Zhou,et al.  Track assignment: a desirable intermediate step between global routing and detailed routing , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[9]  Jason Cong,et al.  Provably good performance-driven global routing , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Shashi Shekhar,et al.  Multilevel hypergraph partitioning: application in VLSI domain , 1997, DAC.

[11]  Jason Cong,et al.  DUNE: a multi-layer gridless routing system with wire planning , 2000, ISPD '00.

[12]  Jason Cong,et al.  An enhanced multilevel routing system , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[13]  George Karypis,et al.  Multilevel Hypergraph Partitioning , 2003 .

[14]  Andrew B. Kahng,et al.  Multilevel circuit partitioning , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Joseph R. Shinnerl,et al.  Multilevel optimization for large-scale circuit placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[16]  Dennis Sylvester,et al.  Interconnect scaling: signal integrity and performance in future high-speed CMOS designs , 1998, 1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216).

[17]  William Nicholls,et al.  Track assignment: a desirable intermediate step between global routing and detailed routing , 2002, ICCAD 2002.

[18]  Shuji Tsukiyama,et al.  A Hybrid Hierarchical Global Router for Multi-Layer VLSI's , 1995 .

[19]  C. Y. Lee An Algorithm for Path Connections and Its Applications , 1961, IRE Trans. Electron. Comput..

[20]  Sung-Mo Kang,et al.  Crosstalk-minimum layer assignment , 1993, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '93.

[21]  Christoph Albrecht,et al.  Global routing by new approximation algorithms for multicommodityflow , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[22]  Yao-Wen Chang,et al.  A novel framework for multilevel routing considering routability and performance , 2002, ICCAD 2002.

[23]  Rob A. Rutenbar,et al.  Wire packing: a strong formulation of crosstalk-aware chip-level track/layer assignment with an efficient integer programming solution , 2000, ISPD '00.

[24]  Ernest S. Kuh,et al.  A spacing algorithm for performance enhancement and cross-talk reduction , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[25]  Robert E. Tarjan,et al.  Data structures and network algorithms , 1983, CBMS-NSF regional conference series in applied mathematics.

[26]  Yu-Chin Hsu,et al.  Hybrid routing , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[27]  Majid Sarrafzadeh,et al.  Pattern routing: use and theory for increasing predictability andavoiding coupling , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[28]  Jason Cong,et al.  Multilevel approach to full-chip gridless routing , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).